summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rwxr-xr-xALU.bld4
-rwxr-xr-xALU.cmd_log67
-rwxr-xr-xALU.jhd18
-rwxr-xr-xALU.ncd2
-rwxr-xr-xALU.ngc2
-rwxr-xr-xALU.ngd2
-rwxr-xr-xALU.ngr2
-rwxr-xr-xALU.pad10
-rwxr-xr-xALU.par12
-rwxr-xr-xALU.pcf8
-rwxr-xr-xALU.sch930
-rwxr-xr-xALU.schlog0
-rwxr-xr-xALU.sym63
-rwxr-xr-xALU.syr169
-rwxr-xr-xALU.twr100
-rwxr-xr-xALU.twx4
-rwxr-xr-xALU.ucf7
-rwxr-xr-xALU.unroutes2
-rwxr-xr-xALU.vf258
-rwxr-xr-xALU_bitgen.xwbt6
-rw-r--r--ALU_envsettings.html100
-rwxr-xr-xALU_guide.ncd2
-rwxr-xr-xALU_map.map40
-rwxr-xr-xALU_map.mrp8
-rwxr-xr-xALU_map.ncd2
-rwxr-xr-xALU_map.ngm2
-rwxr-xr-xALU_map.xrpt14
-rwxr-xr-xALU_ngdbuild.xrpt46
-rwxr-xr-xALU_pad.csv10
-rwxr-xr-xALU_pad.txt10
-rwxr-xr-xALU_par.xrpt42
-rwxr-xr-xALU_summary.html62
-rwxr-xr-xALU_summary.xml2
-rwxr-xr-xALU_usage.xml256
-rwxr-xr-xALU_xst.xrpt32
-rwxr-xr-xDivide.cmd_log9
-rwxr-xr-xDivide.jhd18
-rwxr-xr-xDivide.sch339
-rwxr-xr-xDivide.schlog0
-rwxr-xr-xDivide.sym66
-rwxr-xr-xDivide.vf72
-rwxr-xr-xDivide_0.cmd_log7
-rwxr-xr-xDivide_0.jhd2
-rwxr-xr-xDivide_0.sch337
-rwxr-xr-xDivide_0.sym48
-rwxr-xr-xDivide_0.vf14
-rwxr-xr-xDivide_1.cmd_log1
-rwxr-xr-xDivide_1.jhd2
-rwxr-xr-xDivide_1.sch416
-rwxr-xr-xDivide_1.sym48
-rwxr-xr-xDivide_1.vf4
-rwxr-xr-xDivide_2.cmd_log2
-rwxr-xr-xDivide_2.jhd2
-rwxr-xr-xDivide_2.sch202
-rwxr-xr-xDivide_2.sym48
-rwxr-xr-xDivide_2.vf39
-rwxr-xr-xDivide_3.cmd_log2
-rwxr-xr-xDivide_3.sch79
-rwxr-xr-xDivide_3.sym48
-rwxr-xr-xDivide_3.vf21
-rwxr-xr-xModulo.cmd_log5
-rwxr-xr-xModulo.sch6
-rwxr-xr-xModulo.schlog0
-rwxr-xr-xModulo.sym66
-rwxr-xr-xModulo.vf91
-rwxr-xr-xModulo_0.cmd_log4
-rwxr-xr-xModulo_0.sch174
-rwxr-xr-xModulo_0.sym48
-rwxr-xr-xModulo_0.vf41
-rwxr-xr-xModulo_1.cmd_log3
-rwxr-xr-xModulo_1.jhd2
-rwxr-xr-xModulo_1.sch593
-rwxr-xr-xModulo_1.sym48
-rwxr-xr-xModulo_1.vf54
-rwxr-xr-xModulo_3.cmd_log2
-rwxr-xr-xModulo_3.schlog2
-rwxr-xr-xModulo_3.sym48
-rwxr-xr-xModulo_3.vf4
-rwxr-xr-xNegate.cmd_log4
-rwxr-xr-xNegate.jhd18
-rwxr-xr-xNegate.sch338
-rwxr-xr-xNegate.schlog0
-rwxr-xr-xNegate.sym66
-rwxr-xr-xNegate.vf77
-rwxr-xr-xNegate_0.cmd_log2
-rwxr-xr-xNegate_0.sym48
-rwxr-xr-xNegate_0.vf4
-rwxr-xr-xNegate_1.cmd_log2
-rwxr-xr-xNegate_1.sym48
-rwxr-xr-xNegate_1.vf4
-rwxr-xr-xNegate_2.cmd_log4
-rwxr-xr-xNegate_2.jhd2
-rwxr-xr-xNegate_2.sch369
-rwxr-xr-xNegate_2.sym48
-rwxr-xr-xNegate_2.vf59
-rwxr-xr-xNegate_3.cmd_log2
-rwxr-xr-xNegate_3.jhd2
-rwxr-xr-xNegate_3.sch192
-rwxr-xr-xNegate_3.sym48
-rwxr-xr-xNegate_3.vf22
-rwxr-xr-x_ngo/netlist.lst2
-rwxr-xr-x_xmsgs/bitgen.xmsgs2
-rwxr-xr-x_xmsgs/map.xmsgs12
-rwxr-xr-x_xmsgs/par.xmsgs4
-rwxr-xr-x_xmsgs/pn_parser.xmsgs24
-rwxr-xr-x_xmsgs/trce.xmsgs6
-rwxr-xr-xalu.bgn2
-rwxr-xr-xalu.bitbin464285 -> 464285 bytes
-rwxr-xr-xalu.drc2
-rwxr-xr-xalu.msd2
-rwxr-xr-xalu.mskbin464285 -> 464285 bytes
-rwxr-xr-xalu.rbbbin464117 -> 464117 bytes
-rwxr-xr-xalu.rbd636
-rwxr-xr-ximpact_impact.xwbt6
-rwxr-xr-xiseconfig/ALU.xreport8
-rwxr-xr-xiseconfig/lab1.projectmgr156
-rwxr-xr-xlab1.gise43
-rwxr-xr-xlab1.xise5
-rwxr-xr-xpa.fromHdl.tcl2
-rwxr-xr-xpa.fromNetlist.tcl6
-rwxr-xr-xpar_usage_statistics.html18
-rwxr-xr-xplanAhead.ngc2edif.log88
-rwxr-xr-xplanAhead_run_1/lab1.data/cache/ALU_ngc_c04f956c.edif2404
-rwxr-xr-xplanAhead_run_1/lab1.data/constrs_1/designprops.xml29
-rwxr-xr-xplanAhead_run_1/lab1.data/constrs_1/fileset.xml20
-rwxr-xr-xplanAhead_run_1/lab1.data/constrs_1/usercols.xml4
-rwxr-xr-xplanAhead_run_1/lab1.data/runs/impl_1.psg18
-rwxr-xr-xplanAhead_run_1/lab1.data/runs/runs.xml5
-rwxr-xr-xplanAhead_run_1/lab1.data/sources_1/chipscope.xml6
-rwxr-xr-xplanAhead_run_1/lab1.data/sources_1/fileset.xml156
-rwxr-xr-xplanAhead_run_1/lab1.data/sources_1/ports.xml24
-rwxr-xr-xplanAhead_run_1/lab1.ppr1
-rwxr-xr-xplanAhead_run_1/planAhead.jou22
-rwxr-xr-xplanAhead_run_1/planAhead.log139
-rwxr-xr-xplanAhead_run_1/planAhead_run.log112
-rwxr-xr-xplanAhead_run_2/lab1.data/cache/ALU_ngc_c04f956c.edif2476
-rwxr-xr-xplanAhead_run_2/lab1.data/constrs_1/fileset.xml25
-rwxr-xr-xplanAhead_run_2/lab1.data/runs/impl_1.psg18
-rwxr-xr-xplanAhead_run_2/lab1.data/runs/runs.xml5
-rwxr-xr-xplanAhead_run_2/lab1.data/sources_1/fileset.xml29
-rwxr-xr-xplanAhead_run_2/lab1.data/wt/webtalk_pa.xml26
-rwxr-xr-xplanAhead_run_2/lab1.ppr14
-rwxr-xr-xplanAhead_run_2/planAhead.jou18
-rwxr-xr-xplanAhead_run_2/planAhead.log198
-rwxr-xr-xplanAhead_run_2/planAhead_run.log196
-rwxr-xr-xsch2HdlBatchFile3
-rwxr-xr-xsev_seg_disp.vf4
-rwxr-xr-xusage_statistics_webtalk.html18
-rwxr-xr-xwebtalk.log4
-rwxr-xr-xwebtalk_impact.xml26
-rwxr-xr-xwebtalk_pn.xml9
-rwxr-xr-xxlnx_auto_0_xdb/cst.xbcdbin1631 -> 1549 bytes
-rwxr-xr-xxst/work/work.sdblbin131898 -> 139419 bytes
-rwxr-xr-xxst/work/work.sdbxbin1035 -> 1035 bytes
154 files changed, 9769 insertions, 3934 deletions
diff --git a/ALU.bld b/ALU.bld
index 6186a2d..2e3d732 100755
--- a/ALU.bld
+++ b/ALU.bld
@@ -5,7 +5,7 @@ Command Line: C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe
-intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 ALU.ngc
ALU.ngd
-Reading NGO file "X:/My Documents/ec311/lab1/ALU.ngc" ...
+Reading NGO file "X:/My Documents/ec311/ec311-lab1/ALU.ngc" ...
Gathering constraint information from source properties...
Done.
@@ -27,7 +27,7 @@ NGDBUILD Design Results Summary:
Number of errors: 0
Number of warnings: 0
-Total memory usage is 156564 kilobytes
+Total memory usage is 154784 kilobytes
Writing NGD file "ALU.ngd" ...
Total REAL time to NGDBUILD completion: 4 sec
diff --git a/ALU.cmd_log b/ALU.cmd_log
index de0ed5a..4963564 100755
--- a/ALU.cmd_log
+++ b/ALU.cmd_log
@@ -8,3 +8,70 @@ map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -re
par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
bitgen -intstyle ise -f ALU.ut ALU.ncd
+sch2sym -intstyle ise -family spartan6 -w -refsym ALU {X:/My Documents/ec311/ec311-lab1/ALU.sch} {X:/My Documents/ec311/ec311-lab1/ALU.sym}
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf
+par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
+bitgen -intstyle ise -f ALU.ut ALU.ncd
+sch2sym -intstyle ise -family spartan6 -w -refsym ALU {X:/My Documents/ec311/ec311-lab1/ALU.sch} {X:/My Documents/ec311/ec311-lab1/ALU.sym}
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf
+sch2sym -intstyle ise -family spartan6 -w -refsym ALU {X:/My Documents/ec311/ec311-lab1/ALU.sch} {X:/My Documents/ec311/ec311-lab1/ALU.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym ALU {X:/My Documents/ec311/ec311-lab1/ALU.sch} {X:/My Documents/ec311/ec311-lab1/ALU.sym}
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf
+par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
+bitgen -intstyle ise -f ALU.ut ALU.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf
+par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
+bitgen -intstyle ise -f ALU.ut ALU.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf
+par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
+bitgen -intstyle ise -f ALU.ut ALU.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf
+par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
+bitgen -intstyle ise -f ALU.ut ALU.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf
+par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
+bitgen -intstyle ise -f ALU.ut ALU.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf
+par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
+bitgen -intstyle ise -f ALU.ut ALU.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf
+par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
+bitgen -intstyle ise -f ALU.ut ALU.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf
+par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
+bitgen -intstyle ise -f ALU.ut ALU.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf
+par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
+bitgen -intstyle ise -f ALU.ut ALU.ncd
diff --git a/ALU.jhd b/ALU.jhd
index 7beadb5..1b290b6 100755
--- a/ALU.jhd
+++ b/ALU.jhd
@@ -1,9 +1,9 @@
-MODULE ALU
- SUBMODULE Divide
- INSTANCE XLXI_1
- SUBMODULE Modulo
- INSTANCE XLXI_2
- SUBMODULE Negate
- INSTANCE XLXI_3
- SUBMODULE sev_seg_disp
- INSTANCE XLXI_9
+MODULE ALU
+ SUBMODULE Divide
+ INSTANCE XLXI_1
+ SUBMODULE Modulo
+ INSTANCE XLXI_2
+ SUBMODULE Negate
+ INSTANCE XLXI_3
+ SUBMODULE sev_seg_disp
+ INSTANCE XLXI_9
diff --git a/ALU.ncd b/ALU.ncd
index be0b713..ca10a31 100755
--- a/ALU.ncd
+++ b/ALU.ncd
@@ -1,3 +1,3 @@
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
-###6396:XlxV32DM 3fff 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###2448:XlxV32DM 3fff 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###1464:XlxV32DM 1cb8 5a0eNqtWU2SqzgMvpJlyWCSzauZC8xbzAHSaVh2VS9mRfXdRxagGLANxK9T1WmUT7Js/Xxymp40UEsdoRscUueInDHOsmTgp8F5tEiuQzSGBgduYPmBjrzbgGSlRW7Xtl7SLSo8M9bwc+9aY35+vqDvb+aG41cHN4D7f5252fu/friB8yMCvx6E7JVznj5lHcCO1wFk/SAh4PcI8doB78k5YqQ104q0009iHTpEIht8T+ihIpzsjre9RfNeLcHsr0UQPVBsVk/QyOhujSVHTwTG4gZLE3b+3E2+rbBL1NY6XnGiE51DQA5BW/zd54qPrK60Fh3iUzyTSSuLRZ2zubmy+Kfy2V/O5+GVzybO524I+UyJfA7rWPH6vXx+6V/L50mPtvlcRJsLaMDmEtpeQPs1livAJbF+zge3qqZ05dkM1io2W00zbtLZVxOgu1xNolOqjPDXOxU16ZUqpGj5gl5UJ3CqumD7vK2uwXB1dVJdbVRc9tmOoXVycZ0tDJcJFJ4orjU6IV+XcGSnzRb3okvS0MNnKD7mm0XZDopv0lCq7ISQeLFiE1ZeVFrnzVk7LrQr+YySpzzZ8SfsOLVjK06HtG2jeFaXfdMplenBHtKDq/aD5sa0tdFK07UyNtiMZndt9dmezQxp0lqLK7rdfv2iKQQRmjKolf06fqE1bfugmlMll0kQIkKBQ4KFiNbg8kml9bR2ZGRc9pvLrsOTmq28v47UqDRr0Gbt42b9kWzWk/kuMo9RIDCXHovrvHSfaccuzCE57XhynlPE5Dc+p6LJrNOeDmkX3QC2e2tEq4nuABiFP42OZycs2PavBI5tzyFPn/IuJsnbyMFuk/TZVVqwlfokmZFtuEJ8dNBwuxpylbP1Qh9NFZ01SmdYRa+t2qEqujdK9zX0anX4wCqSbpSkq7zR0QwKzbvhm38/E3XOxvmcja2905MkEtKMLTfjhzTjJmrGaKUZN8lm3K4Lnz+3ycJ/pWk/O5NrxN3hfKPzHf9m1DE+hdsd5ZVWYTOThjnkw9Kuy7x73ISP18ELE4VdTSJ4OLfgIdqs0ToT4eG8VaL54x2kKXs/r5oMAbTXVkw2caq2Mfl3XBnlHIdqP7DyVtUpfTQVjdYpfZRosa325zydgTZ+rKJ7UrqHCjtGaRGqviE4s6sz31i0Gi2cyQaZbEjI5hGRzZPGHdPkc7Qp3DDf9fXP3ftPfkszRfugcpt895qvJ2fvvsXT1MHdzlEijdJHHKU2F6X0v0yE92fL+Q7UzzuBQh9+P6raI6u+69pk8qUTyHmV39PnicwQ2xwrfHCoQEK1/FPh54u8CkGFj0aFToUfpEJU4dOqkFT4aVRoFyH//Pr192it5bu7913fPlnw12hH/xHQ/LKmv3/7z/vP6FsVDZOIsf+Mv3kD5v6b8XD/BhMevgHkKdgdwhvyG4P/BzdSodI= \ No newline at end of file
+###6500:XlxV32DM 3fff 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###2516:XlxV32DM 3fff 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###1472:XlxV32DM 1c56 5a8eNq9WcuS6yYQ/SX6gYTsza3kB3IX+QA/pOVUzSIr1fx7GiThFgZJWKmMq/xApxto+hwaxhhjkTseGO3AAxk7WCO/e9sa8/PzBf1wMRcav9xwAbj+4/oLXv92zws0/UhASDcmfrC1jh9i66SttZ1/F89OXgODMRoj3wZupQ/iwVrLZMzUI6f2OaQlS4SMBSsbETaiuYiGiAholscpWuKCDPwMdkiw2M3YuZd3u4AmQXfx+dLHg0CwlGB5ws7PrX8uRho7cCfjSW1cxAUbFTOPHLx1mCcuHrRl9LqyWmyYZb6SFa+nYi0IK3Mpety0kXWs93jQRmexzupVpLCEyuX+YF65b3Tu353PfZvJfb/iGEb9OJD5wp8kL5V9DlvM58mO1/m8gzYVaKCmCo0pOssQiWFAmzeO5NHdgtbsK6BxHonJcBUzaFZcjeox888c5J/J8m9SpTr+BZstLvlvn3Bwstvi1KbnCjvFLDjER0h/v/ERhI9d4GOr6IgdjF6ahY5HqWSzC8XzkmS2orjEkLVM5XyxdHPy4WQZEwo+7MdUyIVVJIVduYBdtEnRM+0gS2mnKA0xCqWZJ3HKRthWzx1DrPMS20aEDYhcNnR1PWapn2wBmU0CdzcJe3ocFPKzPI58/2te+Gfkc6ZQ8O37CWs4F4W84ak94ImUJzzhybzK1J212vYzFZOTpylXP/U0rVUoHzb8tAdWrYurZmcBxSigTgvonbyAUlFArSJKI9VwP6dtHq0RuemZDfGrk4DmJTVzzQ9qh4cP++HEDj8UWawSWcyJbKxtIDNzXNU2oM5GcCRO86q+C6SS5E2BRF8TxiR8vkXrmYjb85C44f8ubiuanBC3RkmSPSVu/5VMshK3M5LklLThKWkzUdpwM3fyObNe8WecV03+2M2yYD/rt8qCbUs3izCJCN+CCDdahPuHF2E+XMViQb6mY8jnocUYWj61+7SRVnQqZSCmzBk/nUphOkNORalTftQFGa+EtHZmNpKKsn6OC1g6onN5SAdqX96pfUtnkZpxdCWBsO181ioVKljX9+zvyInDFKSlrexxOeOY3VMVFbbwdvf0Rur0RrsnQ1InQ9o9dZIqiKh67nm797N0Ye5HYpZcwX7QCy2XFyyyz0H2b/ouEe4jdeomMV9HwfIp74LarbuyuMyOSBW7J6ndk3b3QCqkeHNiU7HBOgjHyZqviZKJ+7HcjeIG4V+3icX5HI0/rm8vQ1LZmFR3lVSPdqQmW0i0Wc++v75wNfWKab95NcXp+lWubNwSTlWqadlRE4HSqMpzCv3sXt9MdR/dZKkgLNXyv4SfL3axEWLjrYmNHBvvHBttbHxgbKTY+DSxEZdG+fv1688RkS7U3NjR0EvDHyOOrvNoeaEZrt/ufv0ZnV2awExNgv1r/C0TMNff94uB6zcY/+MbIPzyfgf/wfIh4H8BQdWKPw== \ No newline at end of file
diff --git a/ALU.ngc b/ALU.ngc
index 3c55a01..294b6ac 100755
--- a/ALU.ngc
+++ b/ALU.ngc
@@ -1,3 +1,3 @@
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
-$05e4=592K87LBZ3:CM4==FF9UDNXH<;@L2<>GI9VEIYK=4AO0;?DH5WFH^J>5NN2:8EK5XGK_M86OAD368EKB4<2KEH984A^KAQC7<J:1IYK84B^KAQC7<K=1H@F>9;BNH5=613JF@>:>:;BNH6]><KEA9T<6?5:AOO<623JF@M<94CMIB5A403JF@M<J;7:AOOD7C0<1H@FL>5:AOOF723JF@H<;4CMIGRf=DDBN]SIGYIEG6?FJLNQ20OAEIX0:3=>EHEDC_XHJ8;BPFEQCC>2ITEO[I1:F1?A653M;97I<=;E124>BAL<NJH=6I84:B<50<LVCIYK?=;EkumacX8VG^_H\PAMW26>Bn~`nnS<QBURGQ[DJR9;1Oe{gke^0\IPUBZVKGY<<4Dhtj``Y4WD_XI_QNLT33?AoqamoTAX]JR^COQ4=B92M87J@K6:JV[VCS92C97D>=;H31?L453@997D:=;H77?LDRN01BBDZ\T@VF7>OI^?1GCNEJD69OKBODIE>0@XZ9109N0Z7BWARB@D@W_@NV<>Kj}qUD=<?=;LmgqiiX8VG^_H\PAMW26>Khl|fdS<QBURGQ[DJR9;1Fci{co^1\IPUBZVKGY<>4MnfvhjYJ]ZOYSLBZ2:L31>HFFM8>7COAD278JDHC<>1EIYY@RJ68JJT49;1Eijo{e^2\IPUBZVKGY<<4Ndebp`Y6WD_XI_QNLT31?Kc`i}oT>RCZSDP\EIS6:2Dnklzj_2]NQVCUWHF^==5AefcwaZKR[LXTMA[>;N:8K+11pmUG86AMUG18KW443FX8?6A]429LV07<X=1[M_Z9;QQGKKC53Z:<7^>PICWE6>U6?2Y:SDLZF038WMTBOVOSX_OLT^LDG`=T@[OLS@GA_RKYA3=TG\XHI;5\T@VVW1=SQYOh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA8?0TAVF_0-[H]OX99%S@UGP2078\I^NW8%S@UGP11-[H]OX;8?0TAVF_0-[H]OX99%S@U@P2078\I^NW8%S@UGP13-[H]OX98?0TAVF_0-[H]OX9;%S@UGP2078\I^NW8%S@UGP13-[H]OX;8?0TAVF_0-[H]OX9;%S@UGP4078\I^NW8%S@UGP13-[H]OX=8?0TAVF_0-[H]OX9;%S@UGP6078\I^NW8%S@UGP13-[H]OX?8?0TAVF_0-[H]OX9;%S@U@P1078\I^NW8%S@UGP13-[H]HX:8?0TAVF_0-[H]OX9;%S@U@P3078\I^NW8%S@UGP13-[H]HX<8?0TAVF_0-[H]OX9;%S@U@P5078\I^NW8%S@UGP13-[H]HX>8>0TAVF_0-[H]OX0&RGTDQ>159[H]OX9&RGTDQ7/YN[MZ46<2RGTDQ>/YN[MZ>(PERBS>?;;YN[MZ7(PERBS5!WLYK\042<PERBS<!WLYK\<*^KP@U>=95WLYK\5*^KP@U3#UBWI^420>^KP@U:#UBWI^:,\I^NW>;?7UBWI^3,\I^NW1%S@UGP8068\I^NW8%S@UGP8.ZO\LY>9=1S@UGP1.ZO\LY?'QFSBR?>5:ZO\LY6'QFSER6 XMZM[456=2RGTDQ>/YN[MZ>(PERES<8>5:ZO\LY6'QFSER6 XMZM[416<2RGTDQ>/YN[MZ>(PERES??;;YN[MZ7(PERBS5!WLYL\042<PERBS<!WLYK\<*^KPGU>=95WLYK\5*^KP@U3#UBWN^:20>^KP@U:#UBWI^;,\I^NW8;?7UBWI^3,\I^NW0%S@UGP2068\I^NW8%S@UGP9.ZO\LY49=1S@UGP1.ZO\LY>'QFSER:>4:ZO\LY6'QFSER7 XMZJ[<733QFSER? XMZJ[<)_DQDT=<:4XMZJ[4)_DQCT5"VCXO]151=_DQCT="VCXH]:+]J_FV9:86VCXH]2+]J_AV3$TAVA_637?]J_AV8$TAVF_0-[H]OX98>0TAVF_3-[H]OX9&RGTDQ=159[H]OX:&RGTDQ>/YN[MZ56<2RGTDQ=/YN[MZ7(PERBS9?;;YN[MZ4(PERBS<!WLYK\142<PERBS?!WLYK\5*^KP@U==95WLYK\6*^KP@U:#UBWI^520>^KP@U9#UBWI^3,\I^IW8;?7UBWI^0,\I^NW8%S@U@P2068\I^NW;%S@UGP1.ZO\KY49=1S@UGP2.ZO\LY6'QFSBR;>4:ZO\LY5'QFSER? XMZM[3733QFSER< XMZJ[4)_DQDT;<:4XMZJ[7)_DQCT>"VCXH]251=_DQCT>"VCXH]1+]J_AV8:86VCXH]1+]J_AV8$TAVF_237?]J_AV8$TAVF_3-[H]OX<8>0TAVF_3-[H]OX:&RGTDQ:159[H]OX:&RGTDQ=/YN[MZ06<2RGTDQ=/YN[MZ4(PERBS:?;;YN[MZ4(PERBS?!WLYL\542<PERBS?!WLYK\6*^KPGU9=95WLYK\6*^KP@U9#UBWN^120>^KP@U9#UBWI^0,\I^IW<;?7UBWI^0,\I^NW;%S@U@P6068\I^NW;%S@UGP2.ZO\KY09=1S@UGP2.ZO\LY4'QFSER?>5:ZO\LY5'QFSER= XMZJ[476=2RGTDQ=/YN[MZ5(PERBS<<>5:ZO\LY5'QFSER= XMZJ[456=2RGTDQ=/YN[MZ5(PERBS<:>5:ZO\LY5'QFSER= XMZJ[436=2RGTDQ=/YN[MZ5(PERBS<8>4:ZO\LY5'QFSER= XMZJ[7733QFSER< XMZJ[6)_DQCT?<:4XMZJ[7)_DQCT?"VCXH]751=_DQCT>"VCXH]0+]J_AV?:86VCXH]1+]J_AV9$TAVF_737?]J_AV8$TAVF_2-[H]OX?8>0TAVF_3-[H]OX;&RGTDQ6159[H]OX:&RGTDQ</YN[JZ76=2RGTDQ=/YN[MZ5(PERES<6>5:ZO\LY5'QFSER= XMZM[4?6<2RGTDQ=/YN[MZ5(PERES??:;YN[MZ4(PERBS>!WLYL\65723QFSER< XMZJ[6)_DQDT><?:;YN[MZ4(PERBS>!WLYL\67723QFSER< XMZJ[6)_DQDT>>?:;YN[MZ4(PERBS>!WLYL\61733QFSER< XMZJ[6)_DQDT?<:4XMZJ[7)_DQCT?"VCXO]651=_DQCT>"VCXH]0+]J_FV<:86VCXH]1+]J_AV9$TAVA_637?]J_AV8$TAVF_5-[H]OX98>0TAVF_3-[H]OX<&RGTDQ=159[H]OX:&RGTDQ;/YN[MZ56<2RGTDQ=/YN[MZ2(PERBS9?;;YN[MZ4(PERBS9!WLYK\142<PERBS?!WLYK\0*^KPGU:=95WLYK\6*^KP@U?#UBWN^120>^KP@U9#UBWI^6,\I^IW=;?7UBWI^0,\I^NW=%S@U@P5078\I^NW:%S@UGP11-[H]OX98?0TAVF_2-[H]OX99%S@UGP2078\I^NW:%S@UGP11-[H]OX;8?0TAVF_2-[H]OX99%S@UGP4078\I^NW:%S@UGP11-[H]OX=8?0TAVF_2-[H]OX99%S@UGP6078\I^NW:%S@UGP11-[H]OX?8<0TAVF_2-[H]OX99%S@U@P1135?]J_AV9$TAVF_02,\I^IW88::6VCXH]0+]J_AV;;#UBWN^3553=_DQCT?"VCXH]24*^KPGU:;<;4XMZJ[6)_DQCT==!WLYL\343<PERBS>!WLYK\55)_DQDT5<84XMZJ[6)_DQCT=?!WLYK\57723QFSER= XMZJ[44(PERBS8?:;YN[MZ5(PERBS<< XMZJ[<723QFSER= XMZJ[44(PERES5?:;YN[MZ5(PERBS<< XMZM[<723QFSER= XMZJ[=)_DQCT==?:;YN[MZ5(PERBS5!WLYK\57733QFSER= XMZJ[=)_DQCT4<:4XMZJ[6)_DQCT4"VCXH]:50=_DQCT?"VCXH];+]J_FV;?=85WLYK\7*^KP@U3#UBWN^3650=_DQCT?"VCXH];+]J_FV;<=95WLYK\7*^KP@U2#UBWI^320>^KP@U8#UBWI^;,\I^NW;;?7UBWI^1,\I^NW0%S@UGP3068\I^NW:%S@UGP9.ZO\LY39=1S@UGP3.ZO\LY>'QFSER;>4:ZO\LY4'QFSER7 XMZJ[3733QFSER= XMZJ[<)_DQCT;<:4XMZJ[6)_DQCT5"VCXO]251=_DQCT?"VCXH]:+]J_FV8:86VCXH]0+]J_AV3$TAVA_237?]J_AV9$TAVF_8-[H]HX<8>0TAVF_2-[H]OX1&RGTCQ:159[H]OX;&RGTDQ6/YN[JZ013QFSER:7;YN[MZ2X8?1S@UGP599[H]OX=V;=7UBWI^4;?]J_AV<T>;5WLYK\3==_DQCT;R=9;YN[MZ>d3QFSER7 A^@BVf=_DQCT5"LPB@P`?]J_AV3$ORLNRb9[H]OX1&NTNL\j;YN[MZ?(PERBS>>j;YN[MZ?(PERBS>?j;YN[MZ?(PERBS><j;YN[MZ?(PERBS>=j;YN[MZ?(PERBS>:j;YN[MZ?(PERBS>;j;YN[MZ?(PERBS>9j;YN[MZ?(PERBS>6j;YN[MZ?(PERBS>7j;YN[MZ?(PERBS9>j;YN[MZ?(PERBS9?j;YN[MZ?(PERBS9<j;YN[MZ?(PERBS9=j;YN[MZ?(PERBS9:j;YN[MZ?(PERBS9;j;YN[MZ?(PERBS98j;YN[MZ?(PERBS99j;YN[MZ?(PERBS96j;YN[MZ?(PERBS97k;YN[MZ?(PERBS8k4XMZJ[<)_DQCT9=k4XMZJ[<)_DQCT9<k4XMZJ[<)_DQCT9?k4XMZJ[<)_DQCT9>k4XMZJ[<)_DQCT99k4XMZJ[<)_DQCT98k4XMZJ[<)_DQCT9;k4XMZJ[<)_DQCT9:k4XMZJ[<)_DQCT95j4XMZJ[<)_DQCT:h5WLYK\=*^KP@U=<h5WLYK\=*^KP@U==h5WLYK\=*^KP@U=>h5WLYK\=*^KP@U=?h5WLYK\=*^KP@U=8h5WLYK\=*^KP@U=9h5WLYK\=*^KP@U=:h5WLYK\=*^KP@U=;h5WLYK\=*^KP@U=4h5WLYK\=*^KP@U=5i5WLYK\=*^KP@U<i6VCXH]:+]J_AV=;i6VCXH]:+]J_AV=:i6VCXH]:+]J_AV=9i6VCXH]:+]J_AV=8h6VCXH]:+]J_AV2m7UBWI^;,\I^IW8:>j6VCXH]:+]J_FV;:?k5WLYK\=*^KPGU:=9h4XMZJ[<)_DQDT=?;i;YN[MZ?(PERES<<9f:ZO\LY>'QFSBR?=8g9[H]OX1&RGTCQ>28d8\I^NW0%S@U@P122e?]J_AV3$TAVA_012b>^KP@U2#UBWN^371c=_DQCT5"VCXO]203`<PERBS4!WLYL\511a3QFSER7 XMZM[42?n2RGTDQ6/YN[JZ731o1S@UGP9.ZO\KY6=8l0TAVF_8-[H]HX9<?m7UBWI^;,\I^IW8?=j6VCXH]:+]J_FV;>4k5WLYK\=*^KPGU::=h4XMZJ[<)_DQDT=;<i;YN[MZ?(PERES<8:e:ZO\LY>'QFSBR8>e:ZO\LY>'QFSBR8=e:ZO\LY>'QFSBR8<e:ZO\LY>'QFSBR8;e:ZO\LY>'QFSBR8:e:ZO\LY>'QFSBR7>e:ZO\LY>'QFSBR7=e:ZO\LY>'QFSBR7<e:ZO\LY>'QFSBR7;7:ZO\KY6:>1S@U@P2358\I^IW;9<7UBWN^073>^KPGU99:5WLYL\631<PERES?98;YN[JZ4??2RGTCQ<169[H]HX;:=0TAVA_264?]J_FV9>;6VCXO]022=_DQDT?:94XMZM[6>03QFSBR=67:ZO\KY39<1SBB\=4:ZLV70<PZ^GEO94XRV\CKB13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90UH]:;`]lqqg<iVe~xRAMUG78fZir|h1iSb{{_N@VB0=dWfm6mPotv\KGSA=2nTcxzn;e]lqqYHJ\L>7hQ`uuc8aZir|VEIYK;4f^mvpd=aWfSBLZF49d[jssi2mTcxzPOCWE53=tmUxijQkirr\IPUBZVKGY95|ifl:?vo`fVEIYK?>;ya5wi~6>$9&o~i<25CDu5c;2JKt?4I:382V4228<i688512136<1=;89?=v`>6882?k71i3<0(<87:7`8yV4328<i688512136<1=;89??6j=:182>4}T:<0::o4:6;30754>?39:?9?4vU46>5<628025v]=5;35f?312898<?78:23004=#>h0?>6*:d;34a>d5290:>77<:8;xL3><,?=1>6*94;28 35=9?i0e<4?::ke>5<<a881<75f2683>>o2n3:17d8>:188k6<722e>6=44o683>>i>2900c<8k:188k40a2900c<9>:188k4142900c<9::188k4102900c<96:188k41d2900n<88:182>5<7s-<<6>;4H045?M0?3f9?6=44}c336?6=93:1<v*97;4:?M71>2B=46a:c;29?xd0l3:187>50z&53?3>3A;=:6F98:k0<?6=3`926=44i2c94?=h=<0;66sm8183>6<729q/::4:8:J223=O>11b?54?::k0=?6=3f?>6=44}c:1>5<5290;w)88:528L4013A<37d=8:188k03=831vn5?50;094?6|,?=18=5G1748L3><a:=1<75`5483>>{e?o0;6>4?:1y'22<e3A;=:6F98:k0<?6=3`926=44o4794?=zj191<7<50;2x 31=<91C=;84H7:8m61=831d984?::a3`<72:0;6=u+668a?M71>2B=46g<8;29?l5>2900c8;50;9~f=2=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm7b83>0<729q/::4k;I352>N102c847>5;h1:>5<<a:k1<75f3c83>>i2=3:17pl7d;290?6=8r.=;7;6;I352>N102c847>5;h1:>5<<a:k1<75`5483>>{e0o0;6?4?:1y'22<382B::;5G699j72<722e>97>5;|`:5?6=:3:1<v*97;63?M71>2B=46g<7;29?j322900qo6j:187>5<7s-<<6n5G1748L3><a:21<75f3883>>o4i3:17b;::188yg?729096=4?{%44>16<@8<=7E87;h14>5<<g<?1<75rb7a94?5=83:p(;95b:J223=O>11b?54?::k0=?6=3f?>6=44}c4g>5<3290;w)88:5d8L4013A<37d=7:188m6?=831b?l4?::m61?6=3th<97>54;294~"1?3?27E?96:J5<>o403:17d=6:188m6g=831d984?::a37<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17pl9f;290?6=8r.=;7m4H045?M0?3`936=44i2;94?=n;h0;66a:5;29?xd0;3:1>7>50z&53?273A;=:6F98:k03?6=3f?>6=44}c52>5<4290;w)88:c9K530<@?20e>650;9j7<<722e>97>5;|`44?6=;3:1<v*97;`8L4013A<37d=7:188m6?=831d984?::a31<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17pln6;290?6=8r.=;7;6;I352>N102c847>5;h1:>5<<a:k1<75`5483>>{ei=0;694?:1y'22<d3A;=:6F98:k0<?6=3`926=44i2c94?=h=<0;66sma883>7<729q/::4;0:J223=O>11b?:4?::m61?6=3thj;7>52;294~"1?3>;7E?96:J5<>o4?3:17b;::188ygg4290?6=4?{%44>f=O9?<0D;64i2:94?=n;00;66g<a;29?j322900qoo7:181>5<7s-<<69>4H045?M0?3`9<6=44o4794?=zjh?1<7:50;2x 31=k2B::;5G699j7=<722c857>5;h1b>5<<g<?1<75rb8;94?2=83:p(;95589K530<@?20e>650;9j7<<722c8m7>5;n76>5<<uk3<6=4;:183!002j1C=;84H7:8m6>=831b?44?::k0e?6=3f?>6=44}c;`>5<5290;w)88:528L4013A<37d=8:188k03=831vn4o50;094?6|,?=18=5G1748L3><a:=1<75`5483>>{e1?0;694?:1y'22<d3A;=:6F98:k0<?6=3`926=44i2c94?=h=<0;66sm9c83>7<729q/::4;0:J223=O>11b?:4?::m61?6=3th247>54;294~"1?3i0D<89;I4;?l5?2900e>750;9j7d<722e>97>5;|`a`?6=;3:1<v*97;7;?M71>2B=46g<8;29?l5>2900c8;50;9~fg4=8391<7>t$7591==O9?<0D;64i2:94?=n;00;66a:5;29?xde13:187>50z&53?3>3A;=:6F98:k0<?6=3`926=44i2c94?=h=<0;66smb483>7<729q/::4;0:J223=O>11b?:4?::m61?6=3thi;7>54;294~"1?3i0D<89;I4;?l5?2900e>750;9j7d<722e>97>5;|`ag?6=:3:1<v*97;63?M71>2B=46g<7;29?j322900qol9:181>5<7s-<<69>4H045?M0?3`9<6=44o4794?=zjk>1<7<50;2x 31=<91C=;84H7:8m61=831d984?::afd<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17plm0;290?6=8r.=;7m4H045?M0?3`936=44i2;94?=n;h0;66a:5;29?xde;3:197>50z&53?2c3A;=:6F98:k0<?6=3`926=44i2c94?=n;k0;66a:5;29?xde93:197>50z&53?b<@8<=7E87;h1;>5<<a:31<75f3`83>>o4j3:17b;::188ygde29096=4?{%44>16<@8<=7E87;h14>5<<g<?1<75rbc:94?2=83:p(;95c:J223=O>11b?54?::k0=?6=3`9j6=44o4794?=zjjo1<7=50;2x 31==11C=;84H7:8m6>=831b?44?::m61?6=3thho7>53;294~"1?3h0D<89;I4;?l5?2900e>750;9l10<722wioi4?:583>5}#>>0?o6F>679K2==n;10;66g<9;29?l5f2900c8;50;9~ff`=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66smcc83>6<729q/::4>659K530<@?20e>650;9j7<<722e>97>5;|`f<?6=<3:1<v*97;a8L4013A<37d=7:188m6?=831b?l4?::m61?6=3thn:7>52;294~"1?3>;7E?96:J5<>o4?3:17b;::188ygc>29096=4?{%44>16<@8<=7E87;h14>5<<g<?1<75rbd594?5=83:p(;95599K530<@?20e>650;9j7<<722e>97>5;|`e4?6=<3:1<v*97;7:?M71>2B=46g<8;29?l5>2900e>o50;9l10<722wiik4?:583>5}#>>0h7E?96:J5<>o403:17d=6:188m6g=831d984?::ab4<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17pli2;296?6=8r.=;7:?;I352>N102c8;7>5;n76>5<<ukoo6=4<:183!002k1C=;84H7:8m6>=831b?44?::m61?6=3thm?7>52;294~"1?3>;7E?96:J5<>o4?3:17b;::188ygcb29086=4?{%44>g=O9?<0D;64i2:94?=n;00;66a:5;29?xdc>3:1?7>50z&53?3?3A;=:6F98:k0<?6=3`926=44o4794?=zjm>1<7=50;2x 31=j2B::;5G699j7=<722c857>5;n76>5<<ukn36=4=:183!002=:0D<89;I4;?l502900c8;50;9~fa3=83>1<7>t$759g>N6>?1C:55f3983>>o413:17d=n:188k03=831vni750;094?6|,?=18=5G1748L3><a:=1<75`5483>>{elh0;6?4?:1y'22<382B::;5G699j72<722e>97>5;|`g3?6=<3:1<v*97;7:?M71>2B=46g<8;29?l5>2900e>o50;9l10<722wii<4?:383>5}#>>0?<6F>679K2==n;>0;66a:5;29?xdb;3:1?7>50z&53?2e3A;=:6F98:k0<?6=3`926=44o4794?=zjl81<7:50;2x 31==01C=;84H7:8m6>=831b?44?::k0e?6=3f?>6=44}c334?6=03;1;vF98:&53?233-9=6<>>;h0;>5<<a;31<75f2`83>>o5j3:17d=<:188m0`=831b:<4?::m61?6=3k>>6=48:183!002=90D<89;I4;?!5a2;i0e>650;9j7<<722c8m7>5;h1a>5<<a:i1<75f3e83>>i2=3:17p}=8;296~X5027?97=l;|q1=?6=:rT9563;5;1a?xu5i3:1>vP=a:?71?5c3ty9n7>52z\1f>;3=3927p}:f;296~X2n27?97=7;|q55?6=:rT==63;5;1b?xu2=3:1>vP:5:?71?323twijh4?:982>2}O>11/::4;4:&02?`a3`836=44i3;94?=n:h0;66g=b;29?l542900e8h50;9j24<722e>97>5;c66>5<0290;w)88:518L4013A<37)=i:3a8m6>=831b?44?::k0e?6=3`9i6=44i2a94?=n;m0;66a:5;29?xu503:1>vP=8:?71?5d3ty957>52z\1=>;3=39i7p}=a;296~X5i27?97=k;|q1f?6=:rT9n63;5;1:?xu2n3:1>vP:f:?71?5?3ty==7>52z\55>;3=39j7p}:5;296~X2=27?97;:;|abf<7210:6:uG699'22<3<2.8:7hk;h0;>5<<a;31<75f2`83>>o5j3:17d=<:188m0`=831b:<4?::m61?6=3k>>6=48:183!002=90D<89;I4;?!5a2;i0e>650;9j7<<722c8m7>5;h1a>5<<a:i1<75f3e83>>i2=3:17p}=8;296~X5027?97=l;|q1=?6=:rT9563;5;1a?xu5i3:1>vP=a:?71?5c3ty9n7>52z\1f>;3=3927p}:f;296~X2n27?97=7;|q55?6=:rT==63;5;1b?xu2=3:1>vP:5:?71?323twijl4?:982>2}O>11/::4;4:&02?`e3`836=44i3;94?=n:h0;66g=b;29?l542900e8h50;9j24<722e>97>5;c66>5<0290;w)88:518L4013A<37)=i:3a8m6>=831b?44?::k0e?6=3`9i6=44i2a94?=n;m0;66a:5;29?xu503:1>vP=8:?71?5d3ty957>52z\1=>;3=39i7p}=a;296~X5i27?97=k;|q1f?6=:rT9n63;5;1:?xu2n3:1>vP:f:?71?5?3ty==7>52z\55>;3=39j7p}:5;296~X2=27?97;:;|a562=8381<7>t$75955=O9?<0D;64i2594?=h=<0;66sm13d94?4=83:p(;95119K530<@?20e>950;9l10<722wi=?:50;094?6|,?=1==5G1748L3><a:=1<75`5483>>{e98k1<7<50;2x 31=991C=;84H7:8m61=831d984?::a57b=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm13g94?4=83:p(;95419K530<@?20e>950;9l10<722wi=>?50;094?6|,?=18=5G1748L3><a:=1<75`5483>>{e9::1<7<50;2x 31=<91C=;84H7:8m61=831d984?::a57d=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm12194?4=83:p(;95419K530<@?20e>950;9l10<722wi=?o50;094?6|,?=18=5G1748L3><a:=1<75`5483>>{e9;i1<7<50;2x 31=<91C=;84H7:8m61=831d984?::a54c=8391<7>t$7591==O9?<0D;64i2:94?=n;00;66a:5;29?xd6:;0;6>4?:1y'22<e3A;=:6F98:k0<?6=3`926=44o4794?=zj8;86=4<:183!002k1C=;84H7:8m6>=831b?44?::m61?6=3th:=i4?:483>5}#>>0>m6F>679K2==n;10;66g<9;29?l5f2900e>l50;9l10<722wi=<750;194?6|,?=1n6F>679K2==n;10;66g<9;29?j322900qo?>4;297?6=8r.=;7l4H045?M0?3`936=44i2;94?=h=<0;66sm11;94?5=83:p(;95b:J223=O>11b?54?::k0=?6=3f?>6=44}c311?6==3:1<v*97;7b?M71>2B=46g<8;29?l5>2900e>o50;9j7g<722e>97>5;|`266<72<0;6=u+6686e>N6>?1C:55f3983>>o413:17d=n:188m6d=831d984?::a547=83?1<7>t$7591d=O9?<0D;64i2:94?=n;00;66g<a;29?l5e2900c8;50;9~f45529096=4?{%44>16<@8<=7E87;h14>5<<g<?1<75rb02a>5<1290;w)88:4`8L4013A<37d=7:188m6?=831b?l4?::k0f?6=3`9h6=44o4794?=zj8;<6=4;:183!002<30D<89;I4;?l5?2900e>750;9j7d<722e>97>5;|`255<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17pl>2983>6<729q/::4m;I352>N102c847>5;h1:>5<<g<?1<75rb004>5<4290;w)88:c9K530<@?20e>650;9j7<<722e>97>5;|`25g<72:0;6=u+668a?M71>2B=46g<8;29?l5>2900c8;50;9~f47?29086=4?{%44>g=O9?<0D;64i2:94?=n;00;66a:5;29?xd69<0;694?:1y'22<d3A;=:6F98:k0<?6=3`926=44i2c94?=h=<0;66sm11d94?4=83:p(;95419K530<@?20e>950;9l10<722wi==o50;194?6|,?=1n6F>679K2==n;10;66g<9;29?j322900qo??8;290?6=8r.=;7m4H045?M0?3`936=44i2;94?=n;h0;66a:5;29?xd6:?0;6>4?:1y'22<e3A;=:6F98:k0<?6=3`926=44o4794?=zj88:6=4;:183!002j1C=;84H7:8m6>=831b?44?::k0e?6=3f?>6=44}c32b?6=<3:1<v*97;a8L4013A<37d=7:188m6?=831b?l4?::m61?6=3th:=n4?:583>5}#>>0h7E?96:J5<>o403:17d=6:188m6g=831d984?::a55c=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm11594?2=83:p(;95c:J223=O>11b?54?::k0=?6=3`9j6=44o4794?=zj8826=4<:183!002k1C=;84H7:8m6>=831b?44?::m61?6=3th:>=4?:583>5}#>>0h7E?96:J5<>o403:17d=6:188m6g=831d984?::a540=8391<7>t$759533<@8<=7E87;h1;>5<<a:31<75`5483>>{e9881<7=50;2x 31=9?>0D<89;I4;?l5?2900e>750;9l10<722wi==j50;094?6|,?=18=5G1748L3><a:=1<75`5483>>{e99i1<7=50;2x 31=j2B::;5G699j7=<722c857>5;n76>5<<uko1<7<50;2x 31=;l1C=;84H7:8m61=831d984?::a54<72;0;6=u+6680a>N6>?1C:55f3683>>i2=3:17pl=6;296?6=8r.=;7=j;I352>N102c8;7>5;n76>5<<uk8o6=4=:183!002:o0D<89;I4;?l502900c8;50;9~f36=8381<7>t$7597`=O9?<0D;64i2594?=h=<0;66sm6383>7<729q/::4<e:J223=O>11b?:4?::m61?6=3th?6=4=:183!002<=0D<89;I4;?l502900c8;50;9~f3<72;0;6=u+66863>N6>?1C:55f3683>>i2=3:17pl7:181>5<7s-<<6894H045?M0?3`9<6=44o4794?=zjh0;6?4?:1y'22<2?2B::;5G699j72<722e>97>5;|`22`<72;0;6=u+66863>N6>?1C:55f3683>>i2=3:17pl>7183>7<729q/::4:7:J223=O>11b?:4?::m61?6=3th:;?4?:383>5}#>>0>;6F>679K2==n;>0;66a:5;29?xd6?=0;6?4?:1y'22<2?2B::;5G699j72<722e>97>5;|`233<72;0;6=u+66863>N6>?1C:55f3683>>i2=3:17pl>7983>7<729q/::4:7:J223=O>11b?:4?::m61?6=3th:;l4?:383>5}#>>0>;6F>679K2==n;>0;66a:5;29?xd6?m0;6?4?:1y'22<2?2B::;5G699j72<722e>97>5;|qf>5<6=r73>7=8;<5`>6><51o1?4526b80=>;f<39j70o<:2c89d3=;h165:4<a:?:2?5f34336>o4=c7972=:j>08m63m0;1b?8d42:h01o653`9>a=<4027nj7=n;<f7>6?<5l91?452f`81<>;b2<?0q~?>:182<~;?939<709i:2;89=`=;>16:i4<a:?47?5034=:6>64=6297==:i008;63n3;1:?8?d2:=01485389>ff<4?27i<7=6;<`0>6g<5k;1?l52cb80=>;dl39j70k9:2589c7=;>16h54<7:?g1?5?34o96>o4=ga96==:980>96s|2783>4?|5191?:527d80=>;0k392707>:2589=c=;h16:i4<9:?40?5034k<6>94=`197==:1h08;6366;1;?8d12:=01o:5369>fd<4?27i<7=7;<ag>6?<5jh1?452e8803>;a:39<70kk:2:89a?=;>16h:4<9:?f6?5>34ln6?64=34910=z{;n1<7?6{<:7>61<5>i1?o5291803>;1l393709=:25893`=;116:k4<9:?b<?5034k>6>64=8`972=:1108463m3;1;?8d62:201ol5369>f=<4027hj7=8;<aa>6><5l=1?452f2803>;bm39270jn:2589a1=;h16i?4<8:?245<50279h7;:;|q54?6==r7:<=4:f:?ea?3a34lh68h4=gc91c=:>90>96s|6383>0}:99:1:<52fd855>;ak3<:70hn:738934==<1v<;i:1818122<?01<>?:3c8yv72m3:1>v370;76?8`b2;k0q~?:d;296~;?l3?>70hl:3c8yv72k3:1>v39c;76?8`f2;k0q~?90;296~;dm3?>70??0;0a?xu6>80;6?u2be861>;am38i7p}>6383>7}:i?0>963ic;0a?xu6>:0;6?u298861>;ai38i7p}>5883>7}:m>0>963ic;0:?xu6=h0;6?u2f1861>;am3827p}>5c83>7}:l?0>963>0181=>{t9<21<7<t=d1910=:nh0956s|14694?1|5ok1985211a97<=:99n1?:5210097<=:98<1?45213297d=:9;31?45rs076>5<>s4lh68;4=031>6><58;=6>64=024>6><58:n6>94=03`>6g<58;m6>74=002>6?<588=6>74}r362?6=ir7mi7;:;<314?5?34;;47=n;<33e?5?34;;j7=8;<321?5f34;:47=6;<32f?5?34;9;7=6;<31<?5>3ty:9:4?:6y>556==<16==953`9>54e=;016==65389>543=;016=<>5369>541=;11v94?:3y>54g==<1687=8;|q5>5<5s4;987;:;<4972=z{10;6?u213d910=:039<7p}n:181874<3?>70o5369~w40b2909w0?=a;76?871m39<7p}>7183>7}:9;h19852162972=z{8=96=4={<31g?3234;<>7=8;|q231<72;q6=?k5549>522=;>1v<99:181875l3?>70?86;14?xu6?10;6?u2122910=:9>21?:5rs05b>5<5s4;8=7;:;<34e?503ty:;i4?:3y>565==<16=:j5369~w4342903w0??2;7`?877839870hj:2189ce=;:16jl4<3:?25d<4?27:>94<7:?26c<4?2wx8l4?:3y>531=;=16=>:5369~w=?=838p1:j5549>3c<402wx4;4?:3y>3a<4i273>7;:;|q;g?6=:r73=7;:;<5`>6g<uz2j6=4={<:3>6?<5>l1985rs9594?4|5>n1?55282861>{t0<0;6?u27e80=>;0m3?>7p}78;296~;0m393706;:478yv>e2909w06?:2:892e==<1v4=50;0x9=`==<164h4<8:p=0<72;q64i4<9:?:5?323ty2>7>52z?;`?5?342n68;4}r;7>5<5s42o6>o4=82910=z{?o1<7<t=7a97==:>m0>96s|7783>7}:?;0>96380;1:?xu0i3:1>v385;1:?80a2<?0q~98:18180a2:k01:=5549~w2d=838p1:;5399>34<2=2wx;44?:3y>30<4i27<<7;:;|q4<?6=:r7<=7=6;<57>03<uzki6=4={<c5>6?<5h>1985rs`d94?4|5h319852a480=>{tim0;6?u2a580=>;f?3?>7p}na;296~;f>39j70o<:478yvgb2909w0o;:2:89d>==<1vlm50;0x9d0=;116m84:5:p=`<72;q6544<9:?:3?323tyj>7>52z?:g?3234336>74}rc3>5<5s43<6>74=8c910=z{0n1<7<t=8;97d=:1?0>96s|a083>7}:1>084636b;76?xu>n3:1>v369;1;?8??2<?0q~m=:1818dc2:201o<5549~wf5=838p1oj5389>f<<2=2wxo84?:3y>f0<2=27i=7=m;|q`5?6=:r7i57=6;<`4>03<uzij6=4={<``>03<5k21?45rsb494?4|5k<19852b080=>{tk=0;6?u2b5861>;e;3927p}l8;296~;e?39270ln:478yvdb2909w0l6:2c89g6==<1voh50;0x9g4=;016n>4:5:pg5<72;q6n?4<8:?a5?323tyh57>52z?a3?5?34hi68;4}ra4>5<5s4h26>64=c:910=z{m81<7<t=bg97==:kj0>96s|d083>7}:kl08563ld;76?xuc;3:1>v3ld;1;?8ea2<?0q~j?:1818ed2:201nl5549~w`e=838p1h65549>a2<402wxio4?:3y>a=<4127n:7;:;|qfe?6=:r7n47=n;<g:>03<uzl=6=4={<d3>6><5ll1985rsg594?4|5ll1?552f0861>{tn10;6>u2eg80=>;a:3?>70kj:2:8yv`22909w0h?:2c89`b==<1vk750;0x9`b=;016j>4:5:pb1<72;q6j=4<9:?fa?323tyn<7>52z?g2?5>34n?68;4}rf`>5<5s4n368;4=e597==z{mh1<7<t=e497==:l<0>96s|de83>7}:l<08563k9;76?xucm3:1>v3k5;1b?8bf2<?0q~ji:1818b32:201i95549~w`2=838p1h?5549>a6<402wxi84?:3y>a4<4?27n>7;:;|q203<72;q6=<k5549>57b=;>1v<=k:181875;39370?=2;76?xu6=90;6?u210397d=:9891985rs066>5<5s4;:h7;:;<31a?503ty:?44?:2y>54?==<16=<j53`9>54c=;11v<;>:181876939270?>4;76?xu6<j0;6?u211`97d=:9931985rs06;>5<5s4;997;:;<305?503ty:8:4?:3y>575==<16=>>5369~w4242909w0?>1;76?875j39<7p}>4883>7}:9:819852121972=z{8>96=4={<33f?3234;9m7=8;|q201<72;q6=<95549>57e=;>1v<>9:18b875139370?=1;1;?877i39270?>8;1;?875?39370?>0;76?877139270?>9;1;?876;39370?=2;1;?xu6<90;6?u213:910=:9;?1?45rs01e>5<5s4;9;7;:;<311?5f3ty:?;4?:3y>54d==<16=<j5389~w45?2908w0?>8;76?876l39i70?>e;1:?xu6=;0;6?u2107910=:98;1?55rs026>5<>s4;;;7=6;<32g?5?34;:j7=7;<312?5?34;;j7;:;<323?5>34;:87=6;<327?5>34;9>7=6;|q20a<72;q6==o5549>55d=;01v<:m:18187703?>70??b;1a?xu6;l0;6?u2134910=:9;?1?o5rs01`>5<5s4;9=7;:;<317?5>3ty:?l4?:3y>54`==<16=?=53c9~w4502909w0?>c;76?876l3937p}>0583>=}:99i1?55213297<=:99o1985210`97<=:9;21?55211;97==:98>1?55210;97<=z{8>j6=4={<333?3234;;n7=l;|q204<72;q6=?75549>573=;11v<=m:18187583?>70?=3;1b?xu6;<0;6?u2104910=:98=1?l5rs06e>5<5s4;:>7;:;<325?5e3ty:<>4?:7y>55b==<16=<h53`9>577=;h16==65399>543=;116=><5369~w42b2909w0??c;76?877j3937p}>:181[7<5l08;6s|f;296~Xa34;:6>94}r31>5<5sW;970<9:258yv402909wS<8;<0g>61<uz?m6=4={_7e?8072:=0q~8>:181[0634<96>94}r194?4|V:1687;:;|q6>5<5sW?01;4:5:p3?6=:rT<7065549~w<<72;qU563n:478yv71l3:1>vP>6e9>53c==<1v<8i:181[71n27:;=4:5:p527=838pR<9>;<346?323ty:;>4?:3y]525<58=?68;4}r341?6=:rT:;852164910=z{8=<6=4={_343>;6?10>96s|16;94?4|V8=270?8a;76?xu6?j0;6?uQ16a8941c2<?0qp`=a083>7}O>11vb?o=:181M0?3td9m>4?:3yK2==zf;k?6=4={I4;?xh5i<0;68uG699~j7g1290>wE87;|l1e2<72;qC:55rn3c;>5<5sA<37p`=a883>7}O>11vb?on:181M0?3td9mo4?:3yK2==zf;kh6=4={I4;?xh5im0;6?uG699~j7gb2909wE87;|l1ec<72;qC:55rn3`3>5<5sA<37p`=b083>7}O>11vb?l=:181M0?3td9n>4?:3yK2==zf;h?6=4={I4;?xh5j<0;6?uG699~j7d12909wE87;|l1f2<728qC:55rn3`;>5<6sA<37p`=b883>4}O>11vb?ln:182M0?3td9no4?:0yK2==zf;hh6=4>{I4;?xh5jm0;6<uG699~j7db290:wE87;|l1fc<728qC:55rn3a3>5<6sA<37p`=c083>4}O>11vb?m=:182M0?3td9o>4?:0yK2==zf;i?6=4>{I4;?xh5k<0;6<uG699~j7e1290:wE87;|l1g2<728qC:55rn3a;>5<6sA<37p`=c883>4}O>11vb?mn:182M0?3td9oo4?:0yK2==zf;ih6=4>{I4;?xh5km0;6<uG699~j7eb290:wE87;|l1gc<728qC:55rn3f3>5<6sA<37p`=d083>4}O>11vb?j=:182M0?3td9h>4?:0yK2==zf;n?6=4>{I4;?xh5l<0;6<uG699~j7b1290:wE87;|l1`2<728qC:55rn3f;>5<6sA<37p`=d883>4}O>11vb?jn:182M0?3td9ho4?:0yK2==zf;nh6=4>{I4;?xh5lm0;6<uG699~j7bb290:wE87;|l1`c<728qC:55rn3g3>5<6sA<37p`=e083>4}O>11vb?k=:182M0?3td9i>4?:0yK2==zf;o?6=4>{I4;?xh5m<0;6<uG699~j7c1290:wE87;|l1a2<728qC:55rn3g;>5<6sA<37p`=e883>4}O>11vb?kn:182M0?3td9io4?:0yK2==zf;oh6=4>{I4;?xh5mm0;6<uG699~j7cb290:wE87;|l1ac<728qC:55rn3d3>5<6sA<37p`=f083>4}O>11vb?h=:182M0?3td9j>4?:0yK2==zf;l?6=4>{I4;?xh5n<0;6<uG699~j7`1290:wE87;|l1b2<728qC:55rn3d;>5<6sA<37p`=f883>4}O>11vb?hn:182M0?3td9jo4?:0yK2==zf;lh6=4>{I4;?xh5nm0;6<uG699~j7`b290:wE87;|l1bc<728qC:55rn223>5<6sA<37p`<0083>4}O>11vb>>=:182M0?3td8<>4?:0yK2==zf::?6=4>{I4;?xh48<0;6<uG699~j661290:wE87;|l042<728qC:55rn22;>5<6sA<37p`<0883>4}O>11vb>>n:182M0?3td8<o4?:0yK2==zf::h6=4>{I4;?xh48m0;6<uG699~j66b290:wE87;|l04c<728qC:55rn233>5<6sA<37p`<1083>4}O>11vb>?=:182M0?3td8=>4?:0yK2==zf:;?6=4>{I4;?xh49<0;6<uG699~j671290:wE87;|l052<728qC:55rn23;>5<6sA<37p`<1883>4}O>11vb>?n:182M0?3td8=o4?:0yK2==zf:;h6=4>{I4;?xh49m0;6<uG699~j67b290:wE87;|l05c<728qC:55rn203>5<6sA<37p`<2083>4}O>11vb><=:182M0?3td8>>4?:0yK2==zf:8?6=4>{I4;?xh4:<0;6<uG699~j641290:wE87;|l062<728qC:55rn20;>5<6sA<37p`<2883>4}O>11vb><n:182M0?3td8>o4?:0yK2==zf:8h6=4>{I4;?xh4:m0;6<uG699~j64b290:wE87;|l06c<728qC:55rn213>5<6sA<37p`<3083>4}O>11vb>==:182M0?3td8?>4?:0yK2==zf:9?6=4>{I4;?xh4;<0;6<uG699~j651290:wE87;|l072<728qC:55rn21;>5<6sA<37p`<3883>4}O>11vqpsO@By0`6<?l=>;oikr@A@x4xFGXrwKL \ No newline at end of file
+$0:g4=592K87LBZ3:CM4==FF9UDNXH<;@L2<>GI9VEIYK=4AO0;?DH5WFH^J>5NN2:8EK5XGK_M86OAD368EKB4<2KEH984A^KAQC7<J:1IYK84B^KAQC7<K=1H@F>9;BNH5=613JF@>:>:;BNH6]><KEA9T<6?5:AOO<623JF@M<94CMIB5A403JF@M<J;7:AOOD7C0<1H@FL>5:AOOF723JF@H<;4CMIGRf=DDBN]SIGYIEG6?FJLNQ20OAEIX0:3=>EHEDC_XHJ8;BPFEQCC>2ITEO[I1:F1?A653M;97I<=;E124>BAL<NJH=6I84:B<50<LVCIYK?=;EkumacX8VG^_H\PAMW26>Bn~`nnS<QBURGQ[DJR9;1Oe{gke^0\IPUBZVKGY<<4Dhtj``Y4WD_XI_QNLT33?AoqamoTAX]JR^COQ4=B92M87J@K6:JV[VCS92C97D>=;H31?L453@997D:=;H77?LDRN01BBDZ\T@VF7>OI^?1GCNEJD69OKBODIE>0@XZ9109N0Z7BWARB@D@W_@NV<>Kj}qUD=<?=;LmgqiiX8VG^_H\PAMW26>Khl|fdS<QBURGQ[DJR9;1Fci{co^1\IPUBZVKGY<>4MnfvhjYJ]ZOYSLBZ2:L33>HB\^EYG<<4Ndebp`Y7WD_XI_QNLT31?Kc`i}oT=RCZSDP\EIS6:2Dnklzj_3]NQVCUWHF^=?5AefcwaZ5XE\YN^ROCU028J`af|lUFY^K]_@NV5>I?3F$<:ujPL59LFP@43FX9?6A]329LV15<G[?:7]:4P@PW2>VTLFDN>6]?7:Q3[LDRN;1X=:5\1^KAQC763ZBYIJQJXUPBGQYIOJo0_E\JG^OJJZUNRL<0_B[]CD48WQGS]Z>0XT^Jc:WPAWYQAZCI@H=4VBA:?SOB_V^R\H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED?:;YN[MZ7(PERBS<> XMZJ[7723QFSER? XMZJ[46(PERBS9?:;YN[MZ7(PERBS<> XMZJ[3723QFSER? XMZJ[46(PERBS:?:;YN[MZ7(PERBS<> XMZJ[=713QFSER? XMZJ[46(PERES<=>6:ZO\LY6'QFSER??/YN[JZ739?1S@UGP1.ZO\LY68&RGTCQ>5078\I^NW8%S@UGP11-[H]HX:8?0TAVF_0-[H]OX9;%S@UGP1078\I^NW8%S@UGP13-[H]OX:8?0TAVF_0-[H]OX9;%S@UGP3078\I^NW8%S@UGP13-[H]OX=8?0TAVF_0-[H]OX9;%S@UGP6078\I^NW8%S@UGP13-[H]OX?8?0TAVF_0-[H]OX9;%S@UGP9048\I^NW8%S@UGP13-[H]HX9;;>7UBWI^3,\I^NW88$TAVA_336?]J_AV;$TAVF_00,\I^IW:;>7UBWI^3,\I^NW88$TAVA_536?]J_AV;$TAVF_00,\I^IW<;>7UBWI^3,\I^NW88$TAVA_737?]J_AV;$TAVF_9-[H]OX98>0TAVF_0-[H]OX0&RGTDQ=159[H]OX9&RGTDQ7/YN[MZ56<2RGTDQ>/YN[MZ>(PERBS9?;;YN[MZ7(PERBS5!WLYK\142<PERBS<!WLYK\<*^KP@U==95WLYK\5*^KP@U3#UBWI^520>^KP@U:#UBWI^:,\I^NW1;?7UBWI^3,\I^NW1%S@UGP9068\I^NW8%S@UGP8.ZO\KY69<1S@UGP1.ZO\LY?'QFSBR?<149[H]OX9&RGTDQ7/YN[JZ719<1S@UGP1.ZO\LY?'QFSBR?8159[H]OX9&RGTDQ7/YN[JZ46<2RGTDQ>/YN[MZ>(PERES9?;;YN[MZ7(PERBS5!WLYL\142<PERBS<!WLYK\<*^KPGU3=85WLYK\5*^KP@U2#UBWI^3350=_DQCT="VCXH]:+]J_AV;9=85WLYK\5*^KP@U2#UBWI^3050=_DQCT="VCXH]:+]J_AV;>=85WLYK\5*^KP@U2#UBWI^3550=_DQCT="VCXH]:+]J_FV;8=85WLYK\5*^KP@U2#UBWN^3750=_DQCT="VCXH]:+]J_FV;>=95WLYK\5*^KP@U2#UBWN^;20>^KP@U9#UBWI^3,\I^NW8;?7UBWI^0,\I^NW8%S@UGP2068\I^NW;%S@UGP1.ZO\LY49=1S@UGP2.ZO\LY6'QFSER:>4:ZO\LY5'QFSER? XMZJ[0733QFSER< XMZJ[4)_DQCT:<:4XMZJ[7)_DQCT="VCXH]451=_DQCT>"VCXH]2+]J_FV;:86VCXH]1+]J_AV;$TAVA_337?]J_AV8$TAVF_0-[H]HX;8>0TAVF_3-[H]OX9&RGTCQ:159[H]OX:&RGTDQ>/YN[JZ06<2RGTDQ=/YN[MZ7(PERES:?;;YN[MZ4(PERBS?!WLYK\542<PERBS?!WLYK\6*^KP@U9=95WLYK\6*^KP@U9#UBWI^120>^KP@U9#UBWI^0,\I^NW=;?7UBWI^0,\I^NW;%S@UGP5068\I^NW;%S@UGP2.ZO\LY19=1S@UGP2.ZO\LY5'QFSER9>4:ZO\LY5'QFSER< XMZM[4733QFSER< XMZJ[7)_DQDT><:4XMZJ[7)_DQCT>"VCXO]051=_DQCT>"VCXH]1+]J_FV?:86VCXH]1+]J_AV8$TAVA_737?]J_AV8$TAVF_3-[H]HX?8>0TAVF_3-[H]OX;&RGTDQ>149[H]OX:&RGTDQ</YN[MZ769<1S@UGP2.ZO\LY4'QFSER?:149[H]OX:&RGTDQ</YN[MZ719<1S@UGP2.ZO\LY4'QFSER?7149[H]OX:&RGTDQ</YN[MZ7>9=1S@UGP2.ZO\LY4'QFSER<>5:ZO\LY5'QFSER= XMZJ[766=2RGTDQ=/YN[MZ5(PERBS??>5:ZO\LY5'QFSER= XMZJ[746<2RGTDQ=/YN[MZ5(PERBS>?;;YN[MZ4(PERBS>!WLYK\142<PERBS?!WLYK\7*^KP@U==95WLYK\6*^KP@U8#UBWI^520>^KP@U9#UBWI^1,\I^IW;;>7UBWI^0,\I^NW:%S@U@P2236?]J_AV8$TAVF_2-[H]HX:=;?7UBWI^0,\I^NW:%S@U@P3068\I^NW;%S@UGP3.ZO\KY29<1S@UGP2.ZO\LY4'QFSBR;?149[H]OX:&RGTDQ</YN[JZ369<1S@UGP2.ZO\LY4'QFSBR;;149[H]OX:&RGTDQ</YN[JZ329<1S@UGP2.ZO\LY4'QFSBR;9149[H]OX:&RGTDQ</YN[JZ309=1S@UGP2.ZO\LY4'QFSBR8>4:ZO\LY5'QFSER= XMZM[2723QFSER< XMZJ[1)_DQCT==?:;YN[MZ4(PERBS9!WLYK\54723QFSER< XMZJ[1)_DQCT=??:;YN[MZ4(PERBS9!WLYK\56723QFSER< XMZJ[1)_DQCT=9?:;YN[MZ4(PERBS9!WLYK\50723QFSER< XMZJ[1)_DQCT=;?;;YN[MZ4(PERBS9!WLYK\642<PERBS?!WLYK\0*^KP@U?=95WLYK\6*^KP@U?#UBWI^;20>^KP@U9#UBWI^6,\I^IW8;>7UBWI^0,\I^NW=%S@U@P1336?]J_AV8$TAVF_5-[H]HX9=;>7UBWI^0,\I^NW=%S@U@P1436?]J_AV8$TAVF_5-[H]HX9?;>7UBWI^0,\I^NW=%S@U@P2636?]J_AV8$TAVF_5-[H]HX:1;?7UBWI^0,\I^NW=%S@U@P3068\I^NW;%S@UGP4.ZO\KY39?1S@UGP3.ZO\LY68&RGTDQ>0048\I^NW:%S@UGP11-[H]OX98;=7UBWI^1,\I^NW8:$TAVF_0622>^KP@U8#UBWI^33+]J_AV;>=;5WLYK\7*^KP@U:<"VCXH]2240<PERBS>!WLYK\55)_DQCT=:?:;YN[MZ5(PERBS<> XMZJ[=723QFSER= XMZJ[46(PERBS4?9;YN[MZ5(PERBS<> XMZM[636>2RGTDQ</YN[MZ77'QFSBR=8179[H]OX;&RGTDQ>0.ZO\KY388<0TAVF_2-[H]OX99%S@U@P4035?]J_AV9$TAVF_02,\I^IW=>::6VCXH]0+]J_AV;;#UBWN^6453=_DQCT?"VCXH]24*^KPGU?5<84XMZJ[6)_DQCT=?!WLYK\51713QFSER= XMZJ[44(PERBS<;>6:ZO\LY4'QFSER?=/YN[MZ719?1S@UGP3.ZO\LY6:&RGTCQ>0078\I^NW:%S@UGP13-[H]HX08?0TAVF_2-[H]OX0&RGTDQ>0078\I^NW:%S@UGP8.ZO\LY6:8>0TAVF_2-[H]OX0&RGTDQ7159[H]OX;&RGTDQ7/YN[MZ?6=2RGTDQ</YN[MZ>(PERES<:>5:ZO\LY4'QFSER6 XMZM[436=2RGTDQ</YN[MZ>(PERES<9>4:ZO\LY4'QFSER7 XMZJ[4733QFSER= XMZJ[<)_DQCT><:4XMZJ[6)_DQCT5"VCXH]051=_DQCT?"VCXH]:+]J_AV>:86VCXH]0+]J_AV3$TAVF_437?]J_AV9$TAVF_8-[H]OX>8>0TAVF_2-[H]OX1&RGTDQ8159[H]OX;&RGTDQ6/YN[JZ76<2RGTDQ</YN[MZ?(PERES??;;YN[MZ5(PERBS4!WLYL\742<PERBS>!WLYK\=*^KPGU?=95WLYK\7*^KP@U2#UBWN^720>^KP@U8#UBWI^;,\I^IW?<0TAVF_5:8\I^NW=U;:6VCXH]6<>^KP@U>S<84XMZJ[3><PERBS;Q=6:ZO\LY002RGTDQ8_248\I^NW1i0TAVF_8-B[GGUk2RGTDQ6/C]AEWe<PERBS4!L_CCQg>^KP@U2#IQMASg8\I^NW0%S@UGP31g8\I^NW0%S@UGP30g8\I^NW0%S@UGP33g8\I^NW0%S@UGP32g8\I^NW0%S@UGP35g8\I^NW0%S@UGP34g8\I^NW0%S@UGP36g8\I^NW0%S@UGP39g8\I^NW0%S@UGP38g8\I^NW0%S@UGP41g8\I^NW0%S@UGP40g8\I^NW0%S@UGP43g8\I^NW0%S@UGP42g8\I^NW0%S@UGP45g8\I^NW0%S@UGP44g8\I^NW0%S@UGP47g8\I^NW0%S@UGP46g8\I^NW0%S@UGP49g8\I^NW0%S@UGP48f8\I^NW0%S@UGP5d9[H]OX1&RGTDQ:0d9[H]OX1&RGTDQ:1d9[H]OX1&RGTDQ:2d9[H]OX1&RGTDQ:3d9[H]OX1&RGTDQ:4d9[H]OX1&RGTDQ:5d9[H]OX1&RGTDQ:6d9[H]OX1&RGTDQ:7d9[H]OX1&RGTDQ:8e9[H]OX1&RGTDQ9e:ZO\LY>'QFSER8?e:ZO\LY>'QFSER8>e:ZO\LY>'QFSER8=e:ZO\LY>'QFSER8<e:ZO\LY>'QFSER8;e:ZO\LY>'QFSER8:e:ZO\LY>'QFSER89e:ZO\LY>'QFSER88e:ZO\LY>'QFSER87e:ZO\LY>'QFSER86d:ZO\LY>'QFSER9j;YN[MZ?(PERBS:>j;YN[MZ?(PERBS:?j;YN[MZ?(PERBS:<j;YN[MZ?(PERBS:=k;YN[MZ?(PERBS5h4XMZJ[<)_DQDT==;i;YN[MZ?(PERES<?<f:ZO\LY>'QFSBR?>4g9[H]OX1&RGTCQ>24d8\I^NW0%S@U@P134e?]J_AV3$TAVA_00;b>^KP@U2#UBWN^31=c=_DQCT5"VCXO]275`<PERBS4!WLYL\567a3QFSER7 XMZM[422n2RGTDQ6/YN[JZ73>o1S@UGP9.ZO\KY6<>l0TAVF_8-[H]HX9=2m7UBWI^;,\I^IW8>2j6VCXH]:+]J_FV;>=k5WLYK\=*^KPGU:98h4XMZJ[<)_DQDT=88i;YN[MZ?(PERES<;7f:ZO\LY>'QFSBR?90g9[H]OX1&RGTCQ>63d8\I^NW0%S@U@P177f?]J_AV3$TAVA_73f?]J_AV3$TAVA_70f?]J_AV3$TAVA_71f?]J_AV3$TAVA_76f?]J_AV3$TAVA_77f?]J_AV3$TAVA_83f?]J_AV3$TAVA_80f?]J_AV3$TAVA_81f?]J_AV3$TAVA_864?]J_FV;9;6VCXO]162=_DQDT>>94XMZM[7203QFSBR<:7:ZO\KY4;>1S@U@P3558\I^IW:?<7UBWN^153>^KPGU8;:5WLYL\7=1<PERES>78;YN[JZ26?2RGTCQ;269[H]HX<:=0TAVA_564?]J_FV>>96VAOS07?]IU:?1S_YBFB69[WQY@FM<0TilPIe33?]bjWDkacXjrrklj46<PmgTAd``rWgqwlii;2Rxx>5VER78eZir|h1jSb{{_N@VB0=eWfm6lPotv\KGSA=2iTcxzn;b]lqqYHJ\L>7iQ`uuc8`Zir|VEIYK;4e^mvpd=bWfSBLZF49e[jssi2lTcxzPOCWE1>aXg|~j7jQ`uu]LFP@6>2ynzR}jg^fjwuYJ]ZOYSLBZ4:qjck?<{`meSBLZF038|f0tdq;=!>#lsf110xFGx=:87MNw2;D96?7|[;?1=:7553827665100:8:ltn054>4=i9>21:6*>77853>{T:=0:;44:2;30754>13;?;n5k2;295?7|[;?1=:7553827665100:8:l4vU42>5<6280j>v]=5;34=?352898<?76:064f>"1>3>97);6:0:`?g4=83;964m5a3yK21=#>:097)8?:19'1c<6?h1b=7>5;hd94?=n9;0;66g=7;29?l3e2900e8j50;9l7?6=3f?1<75`7;29?j?=831d=:l50;9l52b=831d=:h50;9l5=7=831d=5=50;9l5=3=831d=5950;9l5=g=831i=:;50;394?6|,?91?85G1668L32<g:>1<75rb033>5<6290;w)8<:778L4133A<?7b;7:188yg1a290?6=4?{%40>03<@8=?7E8;;h1;>5<<a:31<75f3`83>>i293:17pl72;297?6=8r.=?7;;;I340>N1<2c847>5;h1:>5<<g<;1<75rb9694?4=83:p(;=5419K522<@?>0e>950;9l14<722wi4>4?:383>5}#>:0?<6F>759K21=n;>0;66a:1;29?xd?93:1?7>50z&57?d<@8=?7E8;;h1;>5<<a:31<75`5083>>{e0<0;6?4?:1y'26<382B:;95G659j72<722e>=7>5;|`;4?6=;3:1<v*93;`8L4133A<?7d=7:188m6?=831d9<4?::a<3<72;0;6=u+62874>N6?=1C:95f3683>>i293:17pl8e;291?6=8r.=?7j4H057?M033`936=44i2;94?=n;h0;66g<b;29?j362900qo7?:187>5<7s-<868;4H057?M033`936=44i2;94?=n;h0;66a:1;29?xd?n3:1?7>50z&57?d<@8=?7E8;;h1;>5<<a:31<75`5083>>{e1:0;6?4?:1y'26<382B:;95G659j72<722e>=7>5;|`:6?6=:3:1<v*93;63?M70<2B=86g<7;29?j362900qo7>:181>5<7s-<869>4H057?M033`9<6=44o4394?=zj?31<7:50;2x 35==<1C=::4H768m6>=831b?44?::k0e?6=3f?:6=44}c4;>5<4290;w)8<:c9K522<@?>0e>650;9j7<<722e>=7>5;|`5e?6=:3:1<v*93;63?M70<2B=86g<7;29?j362900qo8m:181>5<7s-<869>4H057?M033`9<6=44o4394?=zj?i1<7<50;2x 35=<91C=::4H768m61=831d9<4?::a33<72=0;6=u+62861>N6?=1C:95f3983>>o413:17d=n:188k07=831vn:950;094?6|,?918=5G1668L32<a:=1<75`5083>>{e?=0;6?4?:1y'26<382B:;95G659j72<722e>=7>5;|`47?6=;3:1<v*93;`8L4133A<?7d=7:188m6?=831d9<4?::a37<72:0;6=u+628a?M70<2B=86g<8;29?l5>2900c8?50;9~f23=8381<7>t$71905=O9>>0D;:4i2594?=h=80;66sm7083>1<729q/:>4l;I340>N1<2c847>5;h1:>5<<a:k1<75`5083>>{ei10;694?:1y'26<2=2B:;95G659j7=<722c857>5;h1b>5<<g<;1<75rb`494?2=83:p(;=5c:J231=O>=1b?54?::k0=?6=3`9j6=44o4394?=zjhh1<7<50;2x 35=<91C=::4H768m61=831d9<4?::ae<<72;0;6=u+62874>N6?=1C:95f3683>>i293:17pln5;290?6=8r.=?7m4H057?M033`936=44i2;94?=n;h0;66a:1;29?xdfi3:1>7>50z&57?273A;<86F94:k03?6=3f?:6=44}cc4>5<3290;w)8<:b9K522<@?>0e>650;9j7<<722c8m7>5;n72>5<<uk3i6=4;:183!042<?0D<9;;I47?l5?2900e>750;9j7d<722e>=7>5;|`:=?6=<3:1<v*93;a8L4133A<?7d=7:188m6?=831b?l4?::m65?6=3th2i7>52;294~"1;3>;7E?84:J50>o4?3:17b;>:188yg?d29096=4?{%40>16<@8=?7E8;;h14>5<<g<;1<75rb8:94?2=83:p(;=5c:J231=O>=1b?54?::k0=?6=3`9j6=44o4394?=zj0n1<7<50;2x 35=<91C=::4H768m61=831d9<4?::a=d<72=0;6=u+628`?M70<2B=86g<8;29?l5>2900e>o50;9l14<722wino4?:783>5}#>:0>;6F>759K21=n;10;66g<9;29?l5f2900e>l50;9j7f<722e>=7>5;|`a=?6=:3:1<v*93;63?M70<2B=86g<7;29?j362900qol;:181>5<7s-<869>4H057?M033`9<6=44o4394?=zjk21<7:50;2x 35=k2B:;95G659j7=<722c857>5;h1b>5<<g<;1<75rbc594?4=83:p(;=5419K522<@?>0e>950;9l14<722wink4?:383>5}#>:0?<6F>759K21=n;>0;66a:1;29?xde>3:197>50z&57?b<@8=?7E8;;h1;>5<<a:31<75f3`83>>o4j3:17b;>:188ygd229096=4?{%40>16<@8=?7E8;;h14>5<<g<;1<75rbcf94?4=83:p(;=5419K522<@?>0e>950;9l14<722win?4?:583>5}#>:0h7E?84:J50>o403:17d=6:188m6g=831d9<4?::afd<72;0;6=u+62874>N6?=1C:95f3683>>i293:17plm3;291?6=8r.=?7j4H057?M033`936=44i2;94?=n;h0;66g<b;29?j362900qolj:181>5<7s-<869>4H057?M033`9<6=44o4394?=zjki1<7:50;2x 35=k2B:;95G659j7=<722c857>5;h1b>5<<g<;1<75rbg494?2=83:p(;=5c:J231=O>=1b?54?::k0=?6=3`9j6=44o4394?=zjo>1<7<50;2x 35=<91C=::4H768m61=831d9<4?::ab2<72;0;6=u+62874>N6?=1C:95f3683>>i293:17pli5;297?6=8r.=?7;;;I340>N1<2c847>5;h1:>5<<g<;1<75rbgg94?2=83:p(;=5549K522<@?>0e>650;9j7<<722c8m7>5;n72>5<<uklo6=4;:183!042j1C=::4H768m6>=831b?44?::k0e?6=3f?:6=44}cde>5<5290;w)8<:528L4133A<?7d=8:188k07=831vn<>?:181>5<7s-<869>4H057?M033`9<6=44o4394?=zjoh1<7=50;2x 35=j2B:;95G659j7=<722c857>5;n72>5<<uk;;=7>52;294~"1;3>;7E?84:J50>o4?3:17b;>:188yg`d29086=4?{%40>g=O9>>0D;:4i2:94?=n;00;66a:1;29?xdb>3:197>50z&57?313A;<86F94:k0<?6=3`926=44i2c94?=n;k0;66a:1;29?xdb?3:1?7>50z&57?d<@8=?7E8;;h1;>5<<a:31<75`5083>>{em:0;694?:1y'26<d3A;<86F94:k0<?6=3`926=44i2c94?=h=80;66sme383>7<729q/:>4;0:J231=O>=1b?:4?::m65?6=3thn87>52;294~"1;3>;7E?84:J50>o4?3:17b;>:188ygc729086=4?{%40>g=O9>>0D;:4i2:94?=n;00;66a:1;29?xdb=3:1>7>50z&57?273A;<86F94:k03?6=3f?:6=44}cg2>5<4290;w)8<:c9K522<@?>0e>650;9j7<<722e>=7>5;|`e5?6=;3:1<v*93;`8L4133A<?7d=7:188m6?=831d9<4?::ab5<72;0;6=u+62874>N6?=1C:95f3683>>i293:17pljf;290?6=8r.=?7;:;I340>N1<2c847>5;h1:>5<<a:k1<75`5083>>{el80;6>4?:1y'26<2<2B:;95G659j7=<722c857>5;n72>5<<ukn>6=4<:183!042<>0D<9;;I47?l5?2900e>750;9l14<722wih>4?:283>5}#>:0i7E?84:J50>o403:17d=6:188k07=831vnnh50;094?6|,?918=5G1668L32<a:=1<75`5083>>{el=0;6>4?:1y'26<e3A;<86F94:k0<?6=3`926=44o4394?=zjm:1<7<50;2x 35=<91C=::4H768m61=831d9<4?::a`3<72;0;6=u+62874>N6?=1C:95f3683>>i293:17plk2;297?6=8r.=?7l4H057?M033`936=44i2;94?=h=80;66smcd83>1<729q/:>4l;I340>N1<2c847>5;h1:>5<<a:k1<75`5083>>{ekm0;6?4?:1y'26<382B:;95G659j72<722e>=7>5;|`24`<7210:6:uG659'26<3<2.8:7??f:k1<?6=3`826=44i3c94?=n:k0;66g<3;29?l3e2900e8j50;9l14<722h?97>57;294~"1;3>87E?84:J50>"4n38h7d=7:188m6?=831b?l4?::k0f?6=3`9h6=44i2f94?=h=80;66s|2983>7}Y:116884<c:p6<<72;qU>4524480f>{t:h0;6?uQ2`9>00<4l2wx>o4?:3y]6g=:<<0856s|5c83>7}Y=k16884<8:p1a<72;qU9i524480e>{t=80;6?uQ509>00<292wvn<>l:18;>4<0sA<?7)8<:568 60=99n0e?650;9j6<<722c9m7>5;h0a>5<<a:91<75f5c83>>o2l3:17b;>:188f13=83=1<7>t$71906=O9>>0D;:4$2d96f=n;10;66g<9;29?l5f2900e>l50;9j7f<722c8h7>5;n72>5<<uz836=4={_0;?8222:i0q~<6:181[4>34>>6>l4}r0b>5<5sW8j70:::2f8yv4e2909wS<m;<66>6?<uz?i6=4={_7a?8222:20q~;k:181[3c34>>6>o4}r72>5<5sW?:70:::438yxd68h0;654>:6yK21=#>:0?86*<6;33f>o503:17d<6:188m7g=831b>o4?::k07?6=3`?i6=44i4f94?=h=80;66l;5;293?6=8r.=?7:<;I340>N1<2.8j7<l;h1;>5<<a:31<75f3`83>>o4j3:17d=l:188m6b=831d9<4?::p6=<72;qU>5524480g>{t:00;6?uQ289>00<4j2wx>l4?:3y]6d=:<<08h6s|2c83>7}Y:k16884<9:p1g<72;qU9o524480<>{t=m0;6?uQ5e9>00<4i2wx9<4?:3y]14=:<<0>=6srb02;>5<?280<wE8;;%40>12<,:<1==74i3:94?=n:00;66g=a;29?l4e2900e>=50;9j1g<722c>h7>5;n72>5<<j=?1<7950;2x 35=<:1C=::4H768 6`=:j1b?54?::k0=?6=3`9j6=44i2`94?=n;j0;66g<d;29?j362900q~<7:181[4?34>>6>m4}r0:>5<5sW8270:::2`8yv4f2909wS<n;<66>6b<uz8i6=4={_0a?8222:30q~;m:181[3e34>>6>64}r7g>5<5sW?o70:::2c8yv362909wS;>;<66>07<uth:8?4?:383>5}#>:0:<6F>759K21=n;>0;66a:1;29?xd6;m0;6?4?:1y'26<682B:;95G659j72<722e>=7>5;|`277<72;0;6=u+62824>N6?=1C:95f3683>>i293:17pl>2983>7<729q/:>4>0:J231=O>=1b?:4?::m65?6=3th:?o4?:383>5}#>:0?<6F>759K21=n;>0;66a:1;29?xd6;j0;6?4?:1y'26<382B:;95G659j72<722e>=7>5;|`27c<72;0;6=u+62874>N6?=1C:95f3683>>i293:17pl>3d83>7<729q/:>4;0:J231=O>=1b?:4?::m65?6=3th:?44?:383>5}#>:0?<6F>759K21=n;>0;66a:1;29?xd6<80;6?4?:1y'26<382B:;95G659j72<722e>=7>5;|`27=<72;0;6=u+62874>N6?=1C:95f3683>>i293:17pl>3`83>7<729q/:>4;0:J231=O>=1b?:4?::m65?6=3th:>n4?:283>5}#>:0>86F>759K21=n;10;66g<9;29?j362900qo?<0;297?6=8r.=?7l4H057?M033`936=44i2;94?=h=80;66sm13394?5=83:p(;=5b:J231=O>=1b?54?::k0=?6=3f?:6=44}c31f?6==3:1<v*93;75?M70<2B=86g<8;29?l5>2900e>o50;9j7g<722e>=7>5;|`262<72:0;6=u+628a?M70<2B=86g<8;29?l5>2900c8?50;9~f44529086=4?{%40>g=O9>>0D;:4i2:94?=n;00;66a:1;29?xd69>0;6>4?:1y'26<e3A;<86F94:k0<?6=3`926=44o4394?=zj8986=4::183!042<<0D<9;;I47?l5?2900e>750;9j7d<722c8n7>5;n72>5<<uk;8=7>55;294~"1;3?=7E?84:J50>o403:17d=6:188m6g=831b?o4?::m65?6=3th:=k4?:483>5}#>:0>:6F>759K21=n;10;66g<9;29?l5f2900e>l50;9l14<722wi=9>50;094?6|,?918=5G1668L32<a:=1<75`5083>>{e9831<7850;2x 35==>1C=::4H768m6>=831b?44?::k0e?6=3`9i6=44i2a94?=h=80;66sm13794?2=83:p(;=5549K522<@?>0e>650;9j7<<722c8m7>5;n72>5<<uk;:i7>52;294~"1;3>;7E?84:J50>o4?3:17b;>:188yg74>3:1?7>50z&57?d<@8=?7E8;;h1;>5<<a:31<75`5083>>{e9:?1<7=50;2x 35=j2B:;95G659j7=<722c857>5;n72>5<<uk;957>53;294~"1;3h0D<9;;I47?l5?2900e>750;9l14<722wi=?850;194?6|,?91n6F>759K21=n;10;66g<9;29?j362900qo?=3;290?6=8r.=?7m4H057?M033`936=44i2;94?=n;h0;66a:1;29?xd69m0;6?4?:1y'26<382B:;95G659j72<722e>=7>5;|`25=<72:0;6=u+628a?M70<2B=86g<8;29?l5>2900c8?50;9~f471290?6=4?{%40>f=O9>>0D;:4i2:94?=n;00;66g<a;29?j362900qo?<4;297?6=8r.=?7l4H057?M033`936=44i2;94?=h=80;66sm13d94?2=83:p(;=5c:J231=O>=1b?54?::k0=?6=3`9j6=44o4394?=zj88o6=4;:183!042j1C=::4H768m6>=831b?44?::k0e?6=3f?:6=44}c31e?6=<3:1<v*93;a8L4133A<?7d=7:188m6?=831b?l4?::m65?6=3th:=n4?:383>5}#>:0?<6F>759K21=n;>0;66a:1;29?xd69<0;694?:1y'26<d3A;<86F94:k0<?6=3`926=44i2c94?=h=80;66sm12594?5=83:p(;=5b:J231=O>=1b?54?::k0=?6=3f?:6=44}c31a?6=<3:1<v*93;a8L4133A<?7d=7:188m6?=831b?l4?::m65?6=3th:>94?:283>5}#>:0:;>5G1668L32<a:21<75f3883>>i293:17pl>2183>6<729q/:>4>739K522<@?>0e>650;9j7<<722e>=7>5;|`25g<72;0;6=u+62874>N6?=1C:95f3683>>i293:17pl>1`83>6<729q/:>4m;I340>N1<2c847>5;h1:>5<<g<;1<75rbd83>7<729q/:>4<e:J231=O>=1b?:4?::m65?6=3th:=7>52;294~"1;39n7E?84:J50>o4?3:17b;>:188yg4129096=4?{%40>6c<@8=?7E8;;h14>5<<g<;1<75rb3f94?4=83:p(;=53d9K522<@?>0e>950;9l14<722wi9n4?:383>5}#>:08i6F>759K21=n;>0;66a:1;29?xd2m3:1>7>50z&57?5b3A;<86F94:k03?6=3f?:6=44}c694?4=83:p(;=5529K522<@?>0e>950;9l14<722wi:7>52;294~"1;3?87E?84:J50>o4?3:17b;>:188yg>=8381<7>t$71916=O9>>0D;:4i2594?=h=80;66sma;296?6=8r.=?7;<;I340>N1<2c8;7>5;n72>5<<uk;<o7>52;294~"1;3?87E?84:J50>o4?3:17b;>:188yg70m3:1>7>50z&57?343A;<86F94:k03?6=3f?:6=44}c3;4?6=:3:1<v*93;70?M70<2B=86g<7;29?j362900qo?72;296?6=8r.=?7;<;I340>N1<2c8;7>5;n72>5<<uk;387>52;294~"1;3?87E?84:J50>o4?3:17b;>:188yg7?>3:1>7>50z&57?343A;<86F94:k03?6=3f?:6=44}c3;<?6=:3:1<v*93;70?M70<2B=86g<7;29?j362900qo?7b;296?6=8r.=?7;<;I340>N1<2c8;7>5;n72>5<<uzo1<7?8{<:7>61<5>o1?5528g80=>;103927098:258927=;016m;4<a:?b1?5f34k<6>o4=8;97d=:1108m636a;1b?8d>2:=01o:5369>f=<4i27i>7=n;<``>6g<5o<1?552fe80e>;b?39270h?:258946?2;201h4:1:p54<728?p15=5369><4<41272?7=8;<4b>61<5hh1?:52a480=>;>m39<7077:2;89g1=;>16nk4<7:?a6?5>34h86>o4=g6972=:no08;63j3;1b?8c52:=01hh53`9>`1<4127hj7=8;<33e?4?34;:68?4}r05>5<6jr7397=8;<:3>6?<5>o1?45293803>;1j39<709;:258925=;116;?4<8:?b=?5034k>6>64=8a972=:1108463m6;1:?8d22:=01oj5369>f7<4027m;7=8;<334?5034li6>64=d6972=:m908463jf;1:?8b52:301i85369>`5<4?27:<n4=8:?12?363ty9h7>518y><3<4?27<i7=m;<;2>61<5?i1?:5274803>;0939370on:2589d1=;1165i4<7:?:e?5?34hj6>94=c197==:jl08;63mc;1;?8`22:301<>>:2589ce=;016i84<7:?f5?5?34om6>64=bf972=:kl08463k2;1;?877m38370<k:438yv3d290>w0??e;7a?877k3?i70??a;7a?87703?i70;l:438yv3b290>w0??e;7g?877k3?o70??a;7g?87703?o70;j:438yv7113:1>v386;72?877m38j7p}>6983>7}:0;0>=63>0b81e>{t9?=1<7<t=9d914=:99k1>l5rs045>5<5s4<368?4=02;>7g<uz;=m7>52z?g1?3634;;i7<m;|q22g<72;q6no4:1:?24f<5j2wx=;m50;0x9d>==816==o52c9~w40c2909w07m:438946?2;h0q~?9e;296~;a=3?:70??e;0:?xu6>o0;6?u2fd865>;68j0956s|16294?4|5l<19<5211c96<=z{8=:6=4={<d2>07<58:36?74}r356?6=?r7:<54:1:?25d<4127:=o4<7:?265<4127:>94<9:?26`<4i27:?:4<9:p535=833p1<>n:43894472:201<<;:2:894722:201<?l:258944f2:k01<<k:2;8944a2:301<=;:2;8yv71<3:1mv3>0b865>;6:l08463>1780e>;69108463>1e803>;6::08m63>2780=>;6:008463>3480=>;6;?0856s|17794?1|58:n68?4=036>6g<588j6>74=035>6?<58886>74=03f>61<588>6>64}r694?4|588368?4=5803>{t>3:1>v3>33865>;12:=0q~650;0x945c2<;0154<7:pe?6=:r7:8?4:1:?b>61<uz;<o7>52z?27=<2927:;n4<7:p52c=838p1<=6:438941b2:=0q~?70;296~;6;h0>=63>81803>{t9181<7<t=01`>07<58296>94}r3;0?6=:r7:?o4:1:?2<1<4?2wx=5850;0x945b2<;01<69:258yv7?03:1>v3>3g865>;60108;6s|19`94?4|58>:68?4=0:a>61<uz;==7>58z?255<2027:<h4<3:?24f<4;27:<l4<3:?24=<4;27:>54<7:?277<4?27:?i4<7:p0d<72;q6=:;5359>514=;>1v5l50;0x92`==8164<4<8:p<=<72;q6;k4<a:?;0?363ty3i7>52z?;7?3634=n6>o4}r:`>5<5s4296>74=93914=z{131<7<t=6d97==:0<0>=6s|8683>7}:?o0856370;72?xu?i3:1>v370;1;?8>12<;0q~6k:1818>52:201:k5509~w<1=838p14>5509><c<402wx5;4?:3y>=5<40272?7;>;|q:1?6=:r72<7=6;<;1>07<uz3?6=4={<;3>6g<50;19<5rs6294?4|5?319<526980<>{t>m0;6?u26880e>;1i3?:7p}9e;296~;11392708m:438yv0a2909w086:2:893e==81v:650;0x921==816;?4<9:p3<<72;q6;94:1:?45?5f3ty<h7>52z?42?5?34=868?4}r5a>5<5s4==6>o4=60914=z{>k1<7<t=6197<=:?<0>=6s|7b83>7}:??0856381;72?xufl3:1>v3n8;1:?8g12<;0q~l>:1818ge2<;01l95389~wd`=838p1l85389>e<<292wxmn4?:3y>e=<4i27j97;>;|qa4?6=:r7j:7=7;<cb>07<uzkn6=4={<c;>6><5h=19<5rs`294?4|50h1?45298865>{ti=0;6?u29d865>;>i3927p}n2;296~;>1392707l:438yv?a2909w07m:2c89<>==81vl=50;0x9<?=;1165i4:1:pe4<72;q65o4<8:?:e?363tyh:7>52z?a=?3634h=6>l4}ra2>5<5s4h?68?4=c197g=z{j:1<7<t=c`97g=:j10>=6s|c483>7}:j>0>=63m6;1b?xudk3:1>v3mf;72?8dd2:30q~m6:1818de2:301o85509~wf4=838p1o;5509>f6<412wxo94?:3y>f=<4127ih7;>;|q`<?6=:r7in7=l;<`1>07<uzi<6=4={<`5>6><5kk19<5rsbc94?4|5kh1?552b2865>{tkk0;6?u2b980<>;em3?:7p}l3;296~;ej39j70ll:438yv`f2909w0h9:4389c3=;11vk750;0x9c0=;016j94:1:pb=<72;q6j;4<a:?e3?363ty:<94?:3y>b`<4027mh7;>;|q240<72;q6ji4<8:?eb?363ty:<;4?:2y>ba<4127:<=4:1:?eg?5?3ty:<>4?:3y>b`<4i27mn7;>;|q242<72;q6jo4<9:?244<292wx==<50;0x9cc=;016jn4:1:pa=<72;q6i;4<b:?f3?363tyn57>52z?f2?5?34o868?4}rg`>5<3s4o<6>64=d0914=:m908563j1;1:?xubm3:1>v3j3;1:?8c32<;0q~km:1818c12:301h>5509~w`b=838p1h=5399>a0<292wxil4?:3y>a3<4i27n=7;>;|qe6?6=:r7m=7=6;<d3>07<uzl86=4={<d2>6><5ll19<5rse594?4|5m>1?552d0865>{tl00;6?u2d2865>;c93937p}kc;296~;dm39j70mi:438yvba2909w0j;:4389a3=;11vij50;0x9fc=;016h=4:1:p`d<72;q6h;4:1:?g7?5>3tyo47>52z?g6?3634n:6>74}rff>5<5s4in68?4=e797<=z{mh1<7<t=bf914=:l:0846s|14694?4|588h68?4=01a>61<uz;?n7>52z?274<4027:?=4:1:p50c=838p1<?i:2c894462<;0q~?:3;296~;6:k0>=63>3b803>{t9==1<7=t=004>07<588i6>o4=00`>6><uz;>j7>52z?25c<4127:>?4:1:p50g=838p1<?6:2c894702<;0q~?:6;296~;6;:0>=63>3g803>{t9<?1<7<t=012>07<589n6>94}r365?6=:r7:=k4:1:?27<<4?2wx=8950;0x94272<;01<:>:258yv7283:1>v3>18865>;6;108;6s|14094?4|588>68?4=01b>61<uz;:87>5az?272<4027:>k4<8:?25=<4127:>;4<8:?270<4027:=h4:1:?252<4127:>:4<8:?264<4027:?=4<8:p51c=838p1<=9:43894542:30q~?;d;296~;6;<0>=63>3280e>{t9=>1<7<t=00:>07<588i6>74}r372?6=;r7:>;4:1:?26g<4j27:>n4<9:p536=838p1<<<:438947a2:20q~?>3;29=~;69<08563>2`80<>;6:m08463>3580<>;69m0>=63>2480=>;6:;08563>2080=>;6;90856s|14`94?4|58;368?4=03:>6?<uz;>57>52z?253<2927:=44<b:p51e=838p1<=;:43894542:h0q~?;a;296~;6:o0>=63>3080=>{t9=21<7<t=00g>07<589:6>l4}r371?6=:r7:>l4:1:?26g<402wx=<<50;:x947f2:201<<j:2;8947d2<;01<<6:2;894512:201<?8:2:894452:201<<8:2;8yv7203:1>v3>14865>;69008o6s|15d94?4|589<68?4=010>6><uz;?57>52z?26`<2927:?<4<a:p515=838p1<<;:43894422:k0q~?:d;296~;6:90>=63>1g80f>{t98;1<78t=03a>07<588o6>o4=00e>6g<58;=6>64=000>6><58>;6>94}r36g?6=:r7:=l4:1:?25<<402wx=7>52z\2?8c=;>1vk4?:3y]b>;6939<7p}>2;296~X6:279:7=8;|q13?6=:rT9;63=d;14?xu2j3:1>vP:b:?6g?503ty>h7>52z\6`>;2m39<7p}<:181[5<5=0>=6s|5;296~X234<19<5rs683>7}Y?27368?4}r;94?4|V016m7;>;|q23g<72;qU=:l4=05`>07<uz;<h7>52z\23a=:9>o19<5rs05e>5<5sW;<j63>81865>{t91;1<7<t^0:2?87?:3?:7p}>8283>7}Y91901<6;:438yv7?=3:1>vP>849>5=0==81v<68:181[7??27:454:1:p5=g=838pR<6n;<3;f?363twe>oh50;0xL32<ug8h<7>52zJ50>{i:j;1<7<tH768yk4d:3:1>vF94:m6f5=83?pD;:4}o0`0?6==rB=86sa2b794?4|@?>0qc<l6;296~N1<2we>n950;0xL32<ug8h47>52zJ50>{i:j31<7<tH768yk4di3:1>vF94:m6fd=838pD;:4}o0`g?6=:rB=86sa2bf94?4|@?>0qc<le;296~N1<2we>nh50;0xL32<ug8o<7>52zJ50>{i:m;1<7<tH768yk4c:3:1>vF94:m6a5=838pD;:4}o0g0?6=:rB=86sa2e794?7|@?>0qc<k6;295~N1<2we>i950;3xL32<ug8o47>51zJ50>{i:m31<7?tH768yk4ci3:1=vF94:m6ad=83;pD;:4}o0gg?6=9rB=86sa2ef94?7|@?>0qc<ke;295~N1<2we>ih50;3xL32<ug8n<7>51zJ50>{i:l;1<7?tH768yk4b:3:1=vF94:m6`5=83;pD;:4}o0f0?6=9rB=86sa2d794?7|@?>0qc<j6;295~N1<2we>h950;3xL32<ug8n47>51zJ50>{i:l31<7?tH768yk4bi3:1=vF94:m6`d=83;pD;:4}o0fg?6=9rB=86sa2df94?7|@?>0qc<je;295~N1<2we>hh50;3xL32<ug8m<7>51zJ50>{i:o;1<7?tH768yk4a:3:1=vF94:m6c5=83;pD;:4}o0e0?6=9rB=86sa2g794?7|@?>0qc<i6;295~N1<2we>k950;3xL32<ug8m47>51zJ50>{i:o31<7?tH768yk4ai3:1=vF94:m6cd=83;pD;:4}o0eg?6=9rB=86sa2gf94?7|@?>0qc<ie;295~N1<2we>kh50;3xL32<ug9;<7>51zJ50>{i;9;1<7?tH768yk57:3:1=vF94:m755=83;pD;:4}o130?6=9rB=86sa31794?7|@?>0qc=?6;295~N1<2we?=950;3xL32<ug9;47>51zJ50>{i;931<7?tH768yk57i3:1=vF94:m75d=83;pD;:4}o13g?6=9rB=86sa31f94?7|@?>0qc=?e;295~N1<2we?=h50;3xL32<ug9:<7>51zJ50>{i;8;1<7?tH768yk56:3:1=vF94:m745=83;pD;:4}o120?6=9rB=86sa30794?7|@?>0qc=>6;295~N1<2we?<950;3xL32<ug9:47>51zJ50>{i;831<7?tH768yk56i3:1=vF94:m74d=83;pD;:4}o12g?6=9rB=86sa30f94?7|@?>0qc=>e;295~N1<2we?<h50;3xL32<ug99<7>51zJ50>{i;;;1<7?tH768yk55:3:1=vF94:m775=83;pD;:4}o110?6=9rB=86sa33794?7|@?>0qc==6;295~N1<2we??950;3xL32<ug9947>51zJ50>{i;;31<7?tH768yk55i3:1=vF94:m77d=83;pD;:4}o11g?6=9rB=86sa33f94?7|@?>0qc==e;295~N1<2we??h50;3xL32<ug98<7>51zJ50>{i;:;1<7?tH768yk54:3:1=vF94:m765=83;pD;:4}o100?6=9rB=86sa32794?7|@?>0qc=<6;295~N1<2we?>950;3xL32<ug9847>51zJ50>{i;:31<7?tH768yk54i3:1=vF94:m76d=83;pD;:4}o10g?6=9rB=86sa32f94?7|@?>0qc=<e;295~N1<2we?>h50;3xL32<ug9?<7>51zJ50>{i;=;1<7?tH768yk53:3:1=vF94:m715=83;pD;:4}o170?6=9rB=86sa35794?7|@?>0qc=;6;295~N1<2we?9950;3xL32<ug9?47>51zJ50>{i;=31<7?tH768yk53i3:1=vF94:m71d=83;pD;:4}o17g?6=9rB=86sa35f94?7|@?>0qc=;e;295~N1<2we?9h50;3xL32<ug9><7>51zJ50>{i;<;1<7?tH768yk52:3:1=vF94:m705=83;pD;:4}o160?6=9rB=86sa34794?7|@?>0qc=:6;295~N1<2we?8950;3xL32<ug9>47>51zJ50>{i;<31<7?tH768yk52i3:1=vF94:m70d=83;pD;:4}o16g?6=9rB=86sa34f94?7|@?>0qc=:e;295~N1<2we?8h50;3xL32<ug9=<7>51zJ50>{zutJKOv:?3;d6e=041owKLOu?}ABSxFG \ No newline at end of file
diff --git a/ALU.ngd b/ALU.ngd
index 169b26d..1147e66 100755
--- a/ALU.ngd
+++ b/ALU.ngd
@@ -1,3 +1,3 @@
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
-$0`75=6>2.Yi{g|inl9$4(5=?$?"<.+1:0f?3ukp8<hj==4,12?D4<I190MI\<;@NV7>GI811JB=Q@BTD0?DH602KE=RAMUG18EK4?3HD9SBLZF29BJ6><IG9TCO[I3:CM@1=FFM8?7L@K359BJA213HUBNXH>;C08F=5<J\L:<6LZIMF\PDT@M]KYOE84B^KAQC7<K;1H595LLJ25?FJL91:=7NBD2626?FJL:Q20OAE=X0:31>EKC0:>7NBDA058GIMF9M8<7NBDA0F73>EKCH;O485LLJ@21>EKCJ;>7NBDD078GIMC^j1H@FJY_EKUMAC23JF@JU64CMIE\4>712IDA@G[TDF4?FTBI]OO:6MPICWE5>B53M:97I?=;E01?A553M3:<6JID4FB@5>A0<2J4=k4DSA\WPEDMZYMYA84D^KAQC753Mc}eikP0^OVW@TXIE_:>6Jfvhff[4YJ]ZOYSLBZ139GmsocmV8TAX]JR^COQ44<L`|bhhQ<_LWPAWYFD\;;7Igyieg\IPUBZVKGY<5J8:G[TDHCMM;:7HV_AOFF@ZDDLVLB@H?;;DZSEKBBLVIDB^Z]AHLWW47<MQZJBIKK_HQF[COKM11M^BCPUBD2?B5<OGN=7E[PSDV2?L4<A980E<<4I308M64<A=80E8:4ICWE6>OI02CEJH\]EE;8MKOS[]K_I>5FNWg8MKYIOMUJBIQMCE48MJEHEY<0EBZVPD18H4203ECI^L\V6:NLEACC;2FDO;5COBIF@2=KGNCHMA:4LTV57>K6;:1F=9?>;L6\5@YOP@FBBUQNLTa8Idlhz_oydaac:OjjjtQm{ybcc64Mlw{[J769;1Fci{co^2\IPUBZVKGY<<4MnfvhjY6WD_XI_QNLT31?Hic}eeT?RCZSDP\EIS682Gdhxb`_LWPAWYFD\80B==4N060?K72;2D::85AAOF11>HFFM9>7COAD558J@RPG[A?7CA]3008J`af|lU;S@[\ES]BHP753GolmykP1^OVW@TXIE_:>6@jg`vf[7YJ]ZOYSLBZ139MabgsmV9TAX]JR^COQ46<FlmjxhQBURGQ[DJR92E37B 86yf\H1=HJ\L87B@J2:MQ7>IU::1D^>=4OS60?JT2;2E^X<5_3:R236=W9190\LJ;;QCQP3=W[MEEI>5]OL08W51<[9UBNXH=;R34?V7XAK_M=<5\HSGD[@^SZHI_SCILe:QKV@AXE@DT_DTJ6:QWEQST;2^:<>5[1618P4>53]3?7YW_E29V525<]82h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n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d3QFSER7 A^@BVf=_DQCT5"LPB@P`?]J_AV3$ORLNRb9[H]OX1&NTNL\j;YN[MZ?(PERBS>>j;YN[MZ?(PERBS>?j;YN[MZ?(PERBS><j;YN[MZ?(PERBS>=j;YN[MZ?(PERBS>:j;YN[MZ?(PERBS>;j;YN[MZ?(PERBS>9j;YN[MZ?(PERBS>6j;YN[MZ?(PERBS>7j;YN[MZ?(PERBS9>j;YN[MZ?(PERBS9?j;YN[MZ?(PERBS9<j;YN[MZ?(PERBS9=j;YN[MZ?(PERBS9:j;YN[MZ?(PERBS9;j;YN[MZ?(PERBS98j;YN[MZ?(PERBS99j;YN[MZ?(PERBS96j;YN[MZ?(PERBS97k;YN[MZ?(PERBS8k4XMZJ[<)_DQCT9=k4XMZJ[<)_DQCT9<k4XMZJ[<)_DQCT9?k4XMZJ[<)_DQCT9>k4XMZJ[<)_DQCT99k4XMZJ[<)_DQCT98k4XMZJ[<)_DQCT9;k4XMZJ[<)_DQCT9:k4XMZJ[<)_DQCT95j4XMZJ[<)_DQCT:h5WLYK\=*^KP@U=<h5WLYK\=*^KP@U==h5WLYK\=*^KP@U=>h5WLYK\=*^KP@U=?h5WLYK\=*^KP@U=8h5WLYK\=*^KP@U=9h5WLYK\=*^KP@U=:h5WLYK\=*^KP@U=;h5WLYK\=*^KP@U=4h5WLYK\=*^KP@U=5i5WLYK\=*^KP@U<i6VCXH]:+]J_AV=;i6VCXH]:+]J_AV=:i6VCXH]:+]J_AV=9i6VCXH]:+]J_AV=8h6VCXH]:+]J_AV2m7UBWI^;,\I^IW8:>j6VCXH]:+]J_FV;:?k5WLYK\=*^KPGU:=9h4XMZJ[<)_DQDT=?;i;YN[MZ?(PERES<<9f:ZO\LY>'QFSBR?=8g9[H]OX1&RGTCQ>28d8\I^NW0%S@U@P122e?]J_AV3$TAVA_012b>^KP@U2#UBWN^371c=_DQCT5"VCXO]203`<PERBS4!WLYL\511a3QFSER7 XMZM[42?n2RGTDQ6/YN[JZ731o1S@UGP9.ZO\KY6=8l0TAVF_8-[H]HX9<?m7UBWI^;,\I^IW8?=j6VCXH]:+]J_FV;>4k5WLYK\=*^KPGU::=h4XMZJ[<)_DQDT=;<i;YN[MZ?(PERES<8:e:ZO\LY>'QFSBR8>e:ZO\LY>'QFSBR8=e:ZO\LY>'QFSBR8<e:ZO\LY>'QFSBR8;e:ZO\LY>'QFSBR8:e:ZO\LY>'QFSBR7>e:ZO\LY>'QFSBR7=e:ZO\LY>'QFSBR7<e:ZO\LY>'QFSBR7;7:ZO\KY6:>1S@U@P2358\I^IW;9<7UBWN^073>^KPGU99:5WLYL\631<PERES?98;YN[JZ4??2RGTCQ<169[H]HX;:=0TAVA_264?]J_FV9>;6VCXO]022=_DQDT?:94XMZM[6>03QFSBR=67:ZO\KY39<1SBB\=3:ZLV1=_G[8=7U][LH@4?]USWNDO:6Vkb^Kgf>^c`VZye`Gkxc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2SN_95UESM6?dYh}}k0mRazt^MAQC3<jVe~xl5m_nww[JDRN<1hSb{{a:a\kprXGK_M96jPotvb?aYh}}UDNXH:;d]lqqg<mVe~xRAMUG78bZir|h1mSb{{_N@VB0=`Wfm6iPotv\KGSA02dlho{fle35?vcqWzolSig|p^OVW@TXIE_?7~ghn89pmbhXGK_M46}asvbj3><p`fbbu{a199{hk~Xi|~dS=Qwdc-`wq(jjnvLM~<f`9CD}1=N3>1=v]=e;3:g?112898<?78:23004}i90k1=6`>9c85?!7>=33<7p]=d;3:g?112898<?78:23006=T=10:mi4>:01047?02:;8855\2e82ea<62898<?78:2300g=c?3:1=7?tS3g95<e=??0:?>>=968056263-;36<l>;I14?sR4n3:1=7?598yP6`<61j0<:7?<310:3?56;=;0(4855c9U5<?=:r=<7?4u7394>{#0:087):=:19'05<6j:1/8<4:3:`4>5<6:3k>647tH868 <7=?2.3n7<4$9c95<b<a=0;66g>5;29?l7f2900e>>50;9j<3<722c347>5;n:94?=hi3:17bm50;9la?6=3f;2j7>5;n3b5?6=3f;j?7>5;n3b1?6=3f;j;7>5;n3b=?6=3f;jn7>5;n3bb?6=3k;287>51;294~">93>>7E?63:J:0>"6110:5h5+5682f7=h<=0;6)?68;5f?>{e9;o1<7?50;2x <7=1<1C=4=4H868 4??2>20(8951c08k2`=83.:5548e:9~fd?=83>1<7>t$8393g=O9090D4:4$0;;>2?<,<=1=o<4i5:94?"6110?j65f4883>!7>03>m76g;a;29 4??2=l07b9::18'5<>=?l10qool:180>5<7s-3:6:o4H0;0?M?33-;24796;%74>4d53`>36=4+18:90c=<a=31<7*>9987b>=h?<0;6)?68;5f?>{eil0;6?4?:1y'=4<2:2B:5>5G959'5<>=9>1/9:4>b39j02<72-;247:i;:m41?6=,8336:k4H0;4?>{eim0;6?4?:1y'=4<2:2B:5>5G959'5<>=9>1/9:4>b39j02<72-;247:i;:m41?6=,8336:k4H0;4?>{eik0;6>4?:1y'=4<692B:5>5G959'5<>=991/9:4>b39j0=<72-;247:i;:k7=?6=,83369h4;n56>5<#9021;h54}cce>5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=<o10c:;50;&2==<0m2B:5:54}ccb>5<4290;w)7>:038L4?43A3?7)?68;33?!3028h97d:7:18'5<>=<o10e9750;&2==<3n21d;84?:%3:<?1b32win=4?:383>5}#180>>6F>929K=1=#9021=:5+5682f7=n<>0;6)?68;6e?>i0=3:1(<77:6g8L4?032wim54?:483>5}#180:?6F>929K=1=#9021==5+5682f7=n<10;6)?68;6e?>o313:1(<77:5d8?l2f290/=4654g98m1d=83.:554;f:9l30<72-;2479j;:af<<72=0;6=u+9084f>N61:1C595+18:93<=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07d:n:18'5<>=<o10c:;50;&2==<0m21vnol50;094?6|,0;19?5G1818L<2<,8336<94$4595g4<a==1<7*>9987b>=h?<0;6)?68;5f?M7>?21vnoj50;094?6|,0;19?5G1818L<2<,8336<94$4595g4<a==1<7*>9987b>=h?<0;6)?68;5f?M7>?21vnoo50;694?6|,0;1=?5G1818L<2<,8336<>4$4595g4<a=21<7*>9987b>=n<00;6)?68;6e?>o3i3:1(<77:5d8?j12290/=4657d98ygdd29096=4?{%;2>04<@8387E7;;%3:<?703-?<6<l=;h64>5<#90218k54o6794?"6110<i6F>9698yg??29086=4?{%;2>47<@8387E7;;%3:<?773-?<6<l=;h6;>5<#90218k54i5;94?"6110?j65`7483>!7>03=n76sm9883>1<729q/5<49f:J2=6=O1=1/=465789'12<6j;1b854?:%3:<?2a32c?57>5$0;;>1`<3`>j6=4+18:90c=<g>?1<7*>9984a>N61>10qoo>:187>5<7s-3:6:l4H0;0?M?33-;24796;%74>4d53`>36=4+18:90c=<a=31<7*>9987b>=n<h0;6)?68;6e?>i0=3:1(<77:6g8?xd>m3:1>7>50z&:5?353A;2?6F64:&2==<6?2.>;7?m2:k73?6=,83369h4;n56>5<#9021;h5G1858?xd>j3:187>50z&:5?753A;2?6F64:&2==<682.>;7?m2:k7<?6=,83369h4;h6:>5<#90218k54i5c94?"6110?j65`7483>!7>03=n76sm9g83>7<729q/5<4:2:J2=6=O1=1/=465169'12<6j;1b8:4?:%3:<?2a32e<97>5$0;;>2c<@83<76sm9e83>6<729q/5<4>1:J2=6=O1=1/=465119'12<6j;1b854?:%3:<?2a32c?57>5$0;;>1`<3f=>6=4+18:93`=<uk3h6=4<:183!?628;0D<7<;I;7?!7>03;;7);8:0`1?l2?290/=4654g98m1?=83.:554;f:9l30<72-;2479j;:ae5<72;0;6=u+90866>N61:1C595+18:952=#=>0:n?5f4683>!7>03>m76a85;29 4??2>o0D<78;:a`7<72=0;6=u+9084f>N61:1C595+18:93<=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07d:n:18'5<>=<o10c:;50;&2==<0m21vni>50;694?6|,0;1=?5G1818L<2<,8336<>4$4595g4<a=21<7*>9987b>=n<00;6)?68;6e?>o3i3:1(<77:5d8?j12290/=4657d98ygb229096=4?{%;2>04<@8387E7;;%3:<?703-?<6<l=;h64>5<#90218k54o6794?"6110<i6F>9698ygb429096=4?{%;2>04<@8387E7;;%3:<?703-?<6<l=;h64>5<#90218k54o6794?"6110<i6F>9698ygea290?6=4?{%;2>44<@8387E7;;%3:<?773-?<6<l=;h6;>5<#90218k54i5;94?"6110?j65f4`83>!7>03>m76a85;29 4??2>o07plk4;296?6=8r.2=7;=;I3:7>N><2.:554>7:&63?7e:2c?;7>5$0;;>1`<3f=>6=4+18:93`=O90=07plk1;290?6=8r.2=7?=;I3:7>N><2.:554>0:&63?7e:2c?47>5$0;;>1`<3`>26=4+18:90c=<a=k1<7*>9987b>=h?<0;6)?68;5f?>{ek<0;694?:1y'=4<0j2B:5>5G959'5<>=?01/9:4>b39j0=<72-;247:i;:k7=?6=,83369h4;h6b>5<#90218k54o6794?"6110<i65rbb194?2=83:p(4?5139K5<5<@0>0(<77:028 01=9k80e9650;&2==<3n21b844?:%3:<?2a32c?m7>5$0;;>1`<3f=>6=4+18:93`=<uki36=4=:183!?62<80D<7<;I;7?!7>03;<7);8:0`1?l20290/=4654g98k23=83.:5548e:J2=2=<uki=6=4=:183!?62<80D<7<;I;7?!7>03;<7);8:0`1?l20290/=4654g98k23=83.:5548e:J2=2=<uki96=4;:183!?62880D<7<;I;7?!7>03;;7);8:0`1?l2?290/=4654g98m1?=83.:554;f:9j0d<72-;247:i;:m41?6=,8336:k4;|``3?6=:3:1<v*61;71?M7>;2B286*>99823>"2?3;i>6g;7;29 4??2=l07b9::18'5<>=?l1C=494;|``0?6=<3:1<v*61;31?M7>;2B286*>99824>"2?3;i>6g;8;29 4??2=l07d:6:18'5<>=<o10e9o50;&2==<3n21d;84?:%3:<?1b32wii44?:283>5}#180<m6F>929K=1=#9021;45+5682f7=n<10;6)?68;6e?>o313:1(<77:5d8?j12290/=4657d98ygbb29086=4?{%;2>2g<@8387E7;;%3:<?1>3-?<6<l=;h6;>5<#90218k54i5;94?"6110?j65`7483>!7>03=n76sme483>1<729q/5<48b:J2=6=O1=1/=465789'12<6j;1b854?:%3:<?2a32c?57>5$0;;>1`<3`>j6=4+18:90c=<g>?1<7*>9984a>=zjl;1<7<50;2x <7==;1C=4=4H868 4??28=0(8951c08m11=83.:554;f:9l30<72-;2479j;I3:3>=zjl91<7:50;2x <7=9;1C=4=4H868 4??28:0(8951c08m1>=83.:554;f:9j0<<72-;247:i;:k7e?6=,83369h4;n56>5<#9021;h54}cg;>5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=<o10c:;50;&2==<0m2B:5:54}cg1>5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=<o10c:;50;&2==<0m2B:5:54}cg3>5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=<o10c:;50;&2==<0m2B:5:54}cg5>5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=<o10c:;50;&2==<0m2B:5:54}cf`>5<3290;w)7>:008L4?43A3?7)?68;33?!3028h97d:7:18'5<>=<o10e9750;&2==<3n21b8l4?:%3:<?2a32e<97>5$0;;>2c<3thoj7>55;294~">93<o7E?63:J:0>"6110:<6*:7;3a6>o303:1(<77:5d8?l2>290/=4654g98m1g=83.:554;f:9j0g<72-;247:i;:m41?6=,8336:k4H0;4?>{elm0;684?:1y'=4<6;2B:5>5G959'5<>=991/9:4>b39j0=<72-;247:i;:k7=?6=,83369h4;h6b>5<#90218k54i5`94?"6110?j65`7483>!7>03=n76sme683>7<729q/5<4:2:J2=6=O1=1/=465169'12<6j;1b8:4?:%3:<?2a32e<97>5$0;;>2c<@83<76sme583>1<729q/5<4>2:J2=6=O1=1/=465119'12<6j;1b854?:%3:<?2a32c?57>5$0;;>1`<3`>j6=4+18:90c=<g>?1<7*>9984a>=zjok1<7=50;2x <7=?h1C=4=4H868 4??2>30(8951c08m1>=83.:554;f:9j0<<72-;247:i;:m41?6=,8336:k4;|`e<?6=;3:1<v*61;32?M7>;2B286*>99824>"2?3;i>6g;8;29 4??2=l07d:6:18'5<>=<o10c:;50;&2==<0m21vnk750;694?6|,0;1:n5G1818L<2<,8336<>4$4595g4<a=21<7*>9987b>=n<00;6)?68;6e?>o3i3:1(<77:5d8?j12290/=4657d9K5<1<3thmn7>52;294~">93?97E?63:J:0>"6110:;6*:7;3a6>o3?3:1(<77:5d8?j12290/=4657d9K5<1<3thm;7>53;294~">93;2<6F>929K=1=#9021=4?4$4595g4<a=21<7*>9987b>=n<00;6)?68;6e?>i0=3:1(<77:6g8L4?032wi=<:50;694?6|,0;1=?5G1818L<2<,8336<>4$4595g4<a=21<7*>9987b>=n<00;6)?68;6e?>o3i3:1(<77:5d8?j12290/=4657d98yg76:3:1>7>50z&:5?353A;2?6F64:&2==<6?2.>;7?m2:k73?6=,83369h4;n56>5<#9021;h5G1858?xd69<0;6?4?:1y'=4<2:2B:5>5G959'5<>=9>1/9:4>b39j02<72-;247:i;:m41?6=,8336:k4H0;4?>{e9891<7=50;2x <7=?h1C=4=4H868 4??2>30(8951c08m1>=83.:554;f:9j0<<72-;247:i;:m41?6=,8336:k4;|`25f<72=0;6=u+9084f>N61:1C595+18:93<=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07d:n:18'5<>=<o10c:;50;&2==<0m21vn<?m:187>5<7s-3:6<<4H0;0?M?33-;247??;%74>4d53`>36=4+18:90c=<a=31<7*>9987b>=n<h0;6)?68;6e?>i0=3:1(<77:6g8?xd69m0;6?4?:1y'=4<2:2B:5>5G959'5<>=9>1/9:4>b39j02<72-;247:i;:m41?6=,8336:k4H0;4?>{e98o1<7<50;2x <7==;1C=4=4H868 4??28=0(8951c08m11=83.:554;f:9l30<72-;2479j;I3:3>=zj8;26=4<:183!?628;0D<7<;I;7?!7>03;;7);8:0`1?l2?290/=4654g98m1?=83.:554;f:9l30<72-;2479j;:a54`=8381<7>t$83917=O9090D4:4$0;;>41<,<=1=o<4i5594?"6110?j65`7483>!7>03=n7E?67:9~f47f29086=4?{%;2>47<@8387E7;;%3:<?773-?<6<l=;h6;>5<#90218k54i5;94?"6110?j65`7483>!7>03=n76sm11094?5=83:p(4?57`9K5<5<@0>0(<77:6;8 01=9k80e9650;&2==<3n21b844?:%3:<?2a32e<97>5$0;;>2c<3th:<=4?:283>5}#180:=6F>929K=1=#9021==5+5682f7=n<10;6)?68;6e?>o313:1(<77:5d8?j12290/=4657d98yg77<3:1>7>50z&:5?353A;2?6F64:&2==<6?2.>;7?m2:k73?6=,83369h4;n56>5<#9021;h5G1858?xd6880;694?:1y'=4<6:2B:5>5G959'5<>=991/9:4>b39j0=<72-;247:i;:k7=?6=,83369h4;h6b>5<#90218k54o6794?"6110<i65rb026>5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=<o10c:;50;&2==<0m2B:5:54}c332?6=:3:1<v*61;71?M7>;2B286*>99823>"2?3;i>6g;7;29 4??2=l07b9::18'5<>=?l1C=494;|`246<72=0;6=u+9084f>N61:1C595+18:93<=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07d:n:18'5<>=<o10c:;50;&2==<0m21vn<>k:181>5<7s-3:68<4H0;0?M?33-;247?8;%74>4d53`><6=4+18:90c=<g>?1<7*>9984a>N61>10qo??f;297?6=8r.2=78m;I3:7>N><2.:554>0:&63?7e:2c?47>5$0;;>1`<3`>26=4+18:90c=<g>?1<7*>9984a>N61>10qo??e;290?6=8r.2=79m;I3:7>N><2.:55489:&63?7e:2c?47>5$0;;>1`<3`>26=4+18:90c=<a=k1<7*>9987b>=h?<0;6)?68;5f?>{e9;i1<7651;5xL<2<,0;19k5+47826a=n;80;66g<2;29?l542900e>:50;9j7`<722c3:7>5;h:;>5<<g>?1<75m6383>2<729q/5<4:c:J2=6=O1=1/=465849'12<6j;1/9<4<6:k7<?6=,8336;5a18494>=n<00;6)?68;48j4?12810e9o50;&2==<13g;2:7<4;h6a>5<#9021:6`>9780?>o3k3:1(<77:79m5<0=<21b8i4?:%3:<?0<f83=6854o6794?"6110<i65rs2394?4|V:;01;<54b9~w64=838pR><4=7090g=z{:91<7<t^218934=<m1v>:50;0xZ62<5?81845rs9494?4|V1<01;<5499~w=>=838pR564=7090d=z{>?1<7<t^678934=?<1vqo?=a;29<?7=?rB286*61;7e?!21288i7d=>:188m64=831b?>4?::k00?6=3`9n6=44i9494?=n010;66a85;29?g05290<6=4?{%;2>0e<@8387E7;;%3:<?>23-?<6<l=;%72>60<a=21<7*>9985?k7>>3:07d:6:18'5<>=>2d:5;4>;:k7e?6=,8336;5a18496>=n<k0;6)?68;48j4?12:10e9m50;&2==<13g;2:7:4;h6g>5<#9021:6`>9786?>i0=3:1(<77:6g8?xu493:1>vP<1:?56?2d3ty8>7>52z\06>;1:3>i7p}<3;296~X4;27=>7:k;|q00?6=:rT886392;6:?xu?>3:1>vP76:?56?2?3ty347>52z\;<>;1:3>j7p}85;296~X0=27=>79:;|a57>=8321=79tH868 <7==o1/8;4>289j74<722c8>7>5;h10>5<<a:>1<75f3d83>>o?>3:17d67:188k23=831i:?4?:683>5}#180>o6F>929K=1=#9021485+5682f7=#=808:6g;8;29 4??2?1e=4850:9j0<<72-;24784n0;5>4=<a=k1<7*>9985?k7>>3807d:m:18'5<>=>2d:5;4<;:k7g?6=,8336;5a18490>=n<m0;6)?68;48j4?12<10c:;50;&2==<0m21v>?50;0xZ67<5?818n5rs2094?4|V:801;<54c9~w65=838pR>=4=7090a=z{:>1<7<t^268934=<01v5850;0xZ=0<5?81855rs9:94?4|V1201;<54`9~w23=838pR:;4=70930=zuk;9:7>58;393~N><2.2=7;i;%65>4403`9:6=44i2094?=n;:0;66g<4;29?l5b2900e5850;9j<=<722e<97>5;c41>5<0290;w)7>:4a8L4?43A3?7)?68;:6?!3028h97);>:248m1>=83.:5549;o3:2?6<3`>26=4+18:92>h61?0:76g;a;29 4??2?1e=4852:9j0g<72-;24784n0;5>6=<a=i1<7*>9985?k7>>3>07d:k:18'5<>=>2d:5;4:;:m41?6=,8336:k4;|q05?6=:rT8=6392;6`?xu4:3:1>vP<2:?56?2e3ty8?7>52z\07>;1:3>o7p}<4;296~X4<27=>7:6;|q;2?6=:rT3:6392;6;?xu?03:1>vP78:?56?2f3ty<97>52z\41>;1:3=>7psm17294?4=83:p(4?5169K5<5<@0>0(<77:058 01=9k80e9950;&2==<3n21d;84?:%3:<?1b32wi=8l50;094?6|,0;1=:5G1818L<2<,8336<94$4595g4<a==1<7*>9987b>=h?<0;6)?68;5f?>{e9<:1<7<50;2x <7=9>1C=4=4H868 4??28=0(8951c08m11=83.:554;f:9l30<72-;2479j;:a510=8381<7>t$83952=O9090D4:4$0;;>41<,<=1=o<4i5594?"6110?j65`7483>!7>03=n76sm14;94?4=83:p(4?5539K5<5<@0>0(<77:058 01=9k80e9950;&2==<3n21d;84?:%3:<?1b3A;2;65rb07b>5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=<o10c:;50;&2==<0m2B:5:54}c36`?6=:3:1<v*61;71?M7>;2B286*>99823>"2?3;i>6g;7;29 4??2=l07b9::18'5<>=?l1C=494;|`21f<72;0;6=u+90866>N61:1C595+18:952=#=>0:n?5f4683>!7>03>m76a85;29 4??2>o0D<78;:a501=8381<7>t$83917=O9090D4:4$0;;>41<,<=1=o<4i5594?"6110?j65`7483>!7>03=n7E?67:9~f43a29096=4?{%;2>04<@8387E7;;%3:<?703-?<6<l=;h64>5<#90218k54o6794?"6110<i6F>9698yg72>3:1>7>50z&:5?353A;2?6F64:&2==<6?2.>;7?m2:k73?6=,83369h4;n56>5<#9021;h5G1858?xd6=10;6?4?:1y'=4<2:2B:5>5G959'5<>=9>1/9:4>b39j02<72-;247:i;:m41?6=,8336:k4H0;4?>{e9=k1<7=50;2x <7=?h1C=4=4H868 4??2>30(8951c08m1>=83.:554;f:9j0<<72-;247:i;:m41?6=,8336:k4;|`20`<72:0;6=u+90825>N61:1C595+18:955=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07b9::18'5<>=?l10qo?<f;297?6=8r.2=7?>;I3:7>N><2.:554>0:&63?7e:2c?47>5$0;;>1`<3`>26=4+18:90c=<g>?1<7*>9984a>=zj8>26=4::183!?62>i0D<7<;I;7?!7>03=27);8:0`1?l2?290/=4654g98m1?=83.:554;f:9j0d<72-;247:i;:k7f?6=,83369h4;n56>5<#9021;h54}c371?6=;3:1<v*61;32?M7>;2B286*>99824>"2?3;i>6g;8;29 4??2=l07d:6:18'5<>=<o10c:;50;&2==<0m21vn<:?:180>5<7s-3:6<?4H0;0?M?33-;247??;%74>4d53`>36=4+18:90c=<a=31<7*>9987b>=h?<0;6)?68;5f?>{e9:?1<7=50;2x <7=981C=4=4H868 4??28:0(8951c08m1>=83.:554;f:9j0<<72-;247:i;:m41?6=,8336:k4;|`214<72<0;6=u+9084g>N61:1C595+18:93<=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07d:n:18'5<>=<o10e9l50;&2==<3n21d;84?:%3:<?1b32wi=9h50;794?6|,0;1;n5G1818L<2<,8336:74$4595g4<a=21<7*>9987b>=n<00;6)?68;6e?>o3i3:1(<77:5d8?l2e290/=4654g98k23=83.:5548e:9~f45c290>6=4?{%;2>2e<@8387E7;;%3:<?1>3-?<6<l=;h6;>5<#90218k54i5;94?"6110?j65f4`83>!7>03>m76g;b;29 4??2=l07b9::18'5<>=?l10qo?:e;296?6=8r.2=7;=;I3:7>N><2.:554>7:&63?7e:2c?;7>5$0;;>1`<3f=>6=4+18:93`=O90=07pl>3683>3<729q/5<48d:J2=6=O1=1/=465789'12<6j;1b854?:%3:<?2a32c?57>5$0;;>1`<3`>j6=4+18:90c=<a=h1<7*>9987b>=n<j0;6)?68;6e?>i0=3:1(<77:6g8?xd6<:0;694?:1y'=4<0j2B:5>5G959'5<>=?01/9:4>b39j0=<72-;247:i;:k7=?6=,83369h4;h6b>5<#90218k54o6794?"6110<i65rb01`>5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=<o10c:;50;&2==<0m2B:5:54}c360?6=;3:1<v*61;32?M7>;2B286*>99824>"2?3;i>6g;8;29 4??2=l07d:6:18'5<>=<o10c:;50;&2==<0m21vn<;<:180>5<7s-3:6<?4H0;0?M?33-;247??;%74>4d53`>36=4+18:90c=<a=31<7*>9987b>=h?<0;6)?68;5f?>{e9==1<7=50;2x <7=981C=4=4H868 4??28:0(8951c08m1>=83.:554;f:9j0<<72-;247:i;:m41?6=,8336:k4;|`201<72:0;6=u+90825>N61:1C595+18:955=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07b9::18'5<>=?l10qo?;1;290?6=8r.2=7?=;I3:7>N><2.:554>0:&63?7e:2c?47>5$0;;>1`<3`>26=4+18:90c=<a=k1<7*>9987b>=h?<0;6)?68;5f?>{e9:h1<7<50;2x <7==;1C=4=4H868 4??28=0(8951c08m11=83.:554;f:9l30<72-;2479j;I3:3>=zj89=6=4<:183!?628;0D<7<;I;7?!7>03;;7);8:0`1?l2?290/=4654g98m1?=83.:554;f:9l30<72-;2479j;:a562=83>1<7>t$83957=O9090D4:4$0;;>46<,<=1=o<4i5:94?"6110?j65f4883>!7>03>m76g;a;29 4??2=l07b9::18'5<>=?l10qo?:2;297?6=8r.2=7?>;I3:7>N><2.:554>0:&63?7e:2c?47>5$0;;>1`<3`>26=4+18:90c=<g>?1<7*>9984a>=zj8>o6=4;:183!?62880D<7<;I;7?!7>03;;7);8:0`1?l2?290/=4654g98m1?=83.:554;f:9j0d<72-;247:i;:m41?6=,8336:k4;|`20g<72=0;6=u+90826>N61:1C595+18:955=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07d:n:18'5<>=<o10c:;50;&2==<0m21vn<:7:187>5<7s-3:6<<4H0;0?M?33-;247??;%74>4d53`>36=4+18:90c=<a=31<7*>9987b>=n<h0;6)?68;6e?>i0=3:1(<77:6g8?xd6;h0;6?4?:1y'=4<2:2B:5>5G959'5<>=9>1/9:4>b39j02<72-;247:i;:m41?6=,8336:k4H0;4?>{e9:91<7:50;2x <7=9;1C=4=4H868 4??28:0(8951c08m1>=83.:554;f:9j0<<72-;247:i;:k7e?6=,83369h4;n56>5<#9021;h54}c361?6=;3:1<v*61;32?M7>;2B286*>99824>"2?3;i>6g;8;29 4??2=l07d:6:18'5<>=<o10c:;50;&2==<0m21vn<:l:187>5<7s-3:6<<4H0;0?M?33-;247??;%74>4d53`>36=4+18:90c=<a=31<7*>9987b>=n<h0;6)?68;6e?>i0=3:1(<77:6g8?xd6<;0;6>4?:1y'=4<61;1C=4=4H868 4??283:7);8:0`1?l2?290/=4654g98m1?=83.:554;f:9l30<72-;2479j;:a56c=8391<7>t$8395<6<@8387E7;;%3:<?7>92.>;7?m2:k7<?6=,83369h4;h6:>5<#90218k54o6794?"6110<i6F>9698yg7413:1>7>50z&:5?353A;2?6F64:&2==<6?2.>;7?m2:k73?6=,83369h4;n56>5<#9021;h5G1858?xd6;10;6>4?:1y'=4<692B:5>5G959'5<>=991/9:4>b39j0=<72-;247:i;:k7=?6=,83369h4;n56>5<#9021;h54}c37>5<5290;w)7>:5g8L4?43A3?7)?68;34?M333-?>69h4$4595g4<a==1<7*>9987b>=h?<0;6)?68;5f?>{e900;6?4?:1y'=4<3m2B:5>5G959'5<>=9>1C995+5487b>"2?3;i>6g;7;29 4??2=l07b9::18'5<>=?l10qo<i:181>5<7s-3:69k4H0;0?M?33-;247?8;I77?!322=l0(8951c08m11=83.:554;f:9l30<72-;2479j;:a7=<72;0;6=u+9087a>N61:1C595+18:952=O==1/984;f:&63?7e:2c?;7>5$0;;>1`<3f=>6=4+18:93`=<uk2<6=4=:183!?62=o0D<7<;I;7?!7>03;<7E;;;%76>1`<,<=1=o<4i5594?"6110?j65`7483>!7>03=n76sm8883>7<729q/5<4;e:J2=6=O1=1/=465169K11=#=<0?j6*:7;3a6>o3?3:1(<77:5d8?j12290/=4657d98yg?=8381<7>t$83932=O9090D4:4$0;;>41<@<>0(8;57d9'12<6j;1b8:4?:%3:<?2a32e<97>5$0;;>2c<3thi6=4=:183!?62>=0D<7<;I;7?!7>03;<7E;;;%76>2c<,<=1=o<4i5594?"6110?j65`7483>!7>03=n76smd;296?6=8r.2=798;I3:7>N><2.:554>7:J60>"2=3=n7);8:0`1?l20290/=4654g98k23=83.:5548e:9~fc<72;0;6=u+90843>N61:1C595+18:952=O==1/9848e:&63?7e:2c?;7>5$0;;>1`<3f=>6=4+18:93`=<uk;j<7>52;294~">93=<7E?63:J:0>"6110:;6F:4:&61?1b3-?<6<l=;h64>5<#90218k54o6794?"6110<i65rb0c1>5<5290;w)7>:658L4?43A3?7)?68;34?M333-?>6:k4$4595g4<a==1<7*>9987b>=h?<0;6)?68;5f?>{e9h>1<7<50;2x <7=?>1C=4=4H868 4??28=0D8:4$4793`=#=>0:n?5f4683>!7>03>m76a85;29 4??2>o07pl>a783>7<729q/5<487:J2=6=O1=1/=465169K11=#=<0<i6*:7;3a6>o3?3:1(<77:5d8?j12290/=4657d98yg7f03:1>7>50z&:5?103A;2?6F64:&2==<6?2B>86*:5;5f?!3028h97d:8:18'5<>=<o10c:;50;&2==<0m21vn<on:181>5<7s-3:6:94H0;0?M?33-;247?8;I77?!322>o0(8951c08m11=83.:554;f:9l30<72-;2479j;:a5de=8381<7>t$83932=O9090D4:4$0;;>41<@<>0(8;57d9'12<6j;1b8:4?:%3:<?2a32e<97>5$0;;>2c<3th:n=4?:383>5}#180<;6F>929K=1=#9021=:5G559'10<0m2.>;7?m2:k73?6=,83369h4;n56>5<#9021;h54}c694?7=83:p(<77:908L<2<@<:0(8;54g9'1<<6j2c3>7>5$0;;>=4<3th:97>51;294~"61103>6F64:J64>"2=3>m7);6:048m=4=83.:55472:9~f4g=83;1<7>t$0;;>=4<@0>0D8>4$4790c=#=00>7d6=:18'5<>=0;10qo=?:182>5<7s-;2476=;I;7?M373-?>69h4$4;970=n0;0;6)?68;:1?>{e0?0;6<4?:1y'5<>=0;1C595G519'10<3n2.>577?;h:1>5<#90214?54}c:;>5<6290;w)?68;:1?M?33A?;7);::5d8 0?=0m1b4?4?:%3:<?>532wi47>51;294~"61103>6F64:J64>"2=3=n7);6:7c8k=4=83.:55472:9~fd<7280;6=u+18:9<7=O1=1C9=5+5484a>"213<27b6=:18'5<>=0;10qom50;394?6|,83365<4H868L06<,<?1;h5+588;5>i?:3:1(<77:908?xdb290:6=4?{%3:<?>53A3?7E;?;%76>2c<,<314=5`8383>!7>032976sm18d94?7=83:p(<77:908L<2<@<:0(8;57d9'1<<?m2e3>7>5$0;;>=4<3th:m<4?:083>5}#90214?5G959K15=#=<0<i6*:9;:e?j>5290/=4658398yg7f;3:1=7>50z&2==<?:2B286F:0:&61?1b3-?264<4o9094?"61103>65rb0c6>5<6290;w)?68;:1?M?33A?;7);::6g8 0?=1:1d4?4?:%3:<?>532wi=l950;394?6|,83365<4H868L06<,<?1;h5+5886a>i?:3:1(<77:908?xd6i00;6<4?:1y'5<>=0;1C595G519'10<0m2.>5787;n:1>5<#90214?54}c3bf?6=93:1<v*>998;6>N><2B><6*:5;5f?!3>2<<0c5<50;&2==<?:21vn<oi:182>5<7s-;2476=;I;7?M373-?>6:k4$4;91a=h0;0;6)?68;:1?>{t9=0;6<;t=`g902=:i10?463ma;6:?8??2=301i>54`9>gc<3i27o=7:n;<a0>1g<5j818l52c587e>;b93><70k<:5c89ae=<h16hk4;b:?f0?2f34;:87:7;<32f?2f34;;<7:6;<33b?2>34;9:7=>;<37>23<uz;26=4>8z?b`?2034ki6974=c`902=:100?m636f;64?8?c2=2014m5499>`0<3?27hj7:6;<a;>11<5j818452e9873>;ck3>270ji:5c89ab=<h16j54;9:?e=?2f34;:>7:8;<32`?2034;;87:8;<335?2?34;;i7:n;<31<?5634;26:;4}r0e>5<61r7jj7:8;<cb>1?<5h218452be873>;ei3>j7076:5;89d6=<>16h>4;7:?`b?2?34i=6994=b090==:m;0?;63j0;64?8c12==01im5499>b<<3127m;7:6;<321?2034;:i7:8;<32=?2?34;;97:8;<337?2>34;;i7:6;<31e?56348m6:;4}r1;>5<61r7i<7:8;<c;>1d<5ki18:529887<>;>m3><707m:5:89<d=<016h94;7:?g5?2?34i<6994=b690==:lo0?463kd;6;?8c02==01h:5499>bg<3?27m;7:7;<327?2>34;:j7:8;<32e?2>34;;:7:8;<337?2f34;;i7:7;<31g?5634936:;4}r:4>5<2s4;9o769;<31e?>134;94769;<312?>1342<6:;4}r::>5<2s4;9o767;<31e?>?34;94767;<312?>?34226:;4}r3;f?6=:r7j=79:;<31g?543ty:4l4?:3y>ef<0=27:>l4<3:p5=?=838p1o75749>57>=;:1v<67:1818??2>?01<<9:218yv7?k3:1>v3ia;56?875k39?7p}>8e83>7}:m00<963>2`800>{t91o1<7<t=e0930=:9;21?95rs0:e>5<5s4i>6:;4=005>62<uz;397>52z?256<0=27:>54<2:p5=0=838p1<?l:678944f2:80q~?77;296~;68;0<963>2b806>{t91>1<7<t=02e>23<588=6><4}r3;4?6=?r7:>;485:?27=<3127:?44;7:?27`<3127:8?4;9:?20f<3i27:984;9:p5=7=833p1<<7:678945b2=201<:=:5:894542=201<=n:558942?2=k01<:m:5;8942c2=301<;=:5;8yv7?:3:1mv3>2`841>;6<j0?463>3587e>;6;?0?463>3c873>;6<80?m63>4587=>;6<>0?463>5287=>;6==0?56s|19194?1|588h6:;4=010>1g<58>36974=017>1?<58>:6974=01`>11<58>86964}r;94?4|58>=6:;4=8873>{tj3:1>v3>51841>;e2==0q~j50;0x943e2>?01i4;7:pb?6=:r7::=485:?e>11<uz;j<7>52z?213<0=27:m=4;7:p5d4=838p1<;8:67894g52==0q~?n4;296~;6=10<963>a5873>{t9h<1<7<t=07b>23<58k=6994}r3b<?6=:r7:94485:?2e=<3?2wx=lo50;0x943d2>?01<on:558yv7fk3:1>v3>5e841>;6ij0?;6s|1c294?4|58?m6:;4=0`3>11<uz;<j7>58z?26`<0n27:>n4<e:?26d<4m27:>54<e:?263<4m27:8;4;7:?215<3?27:9o4;7:p22<72;q6=4:5459>536=<>1vo;50;0x9d?=?<16mo4;8:pf7<72;q6m44;a:?ba?123tyi47>52z?b`?1234k369o4}r`5>5<5s4kh6974=``930=z{k91<7<t=`;90==:io0<96s|b083>7}:i00?563na;56?xue<3:1>v3na;6;?8d72>?0q~l8:1818gd2=201l65749~wg`=838p1ol5749>fd<302wxo<4?:3y>f<<3127ih79:;|qaa?6=:r7i57:7;<`b>23<uzi;6=4={<`:>1g<5ki1;85rs8c94?4|5021855298841>{ti;0;6?u29d841>;>k3>27p}n6;296~;f93>2707m:678yvg42909w07m:5c89<`=?<1vl950;0x9d7=<1165i485:pe0<72;q6m<4;a:?:g?123tyj87>52z?:`?2>34k;6:;4}rf4>5<5s4n96974=e2930=z{mh1<7<t=e7930=:l80?56s|d883>7}:l90?563k3;56?xuc>3:1>v3k2;6b?8ea2>?0q~jn:1818b72=201i:5749~wa>=838p1i<5499>`4<0=2wxol4?:3y>g0<3127h?79:;|q`a?6=:r7h479:;<a7>1?<uzih6=4={<a0>1?<5j<1;85rsb;94?4|5j?18l52c3841>{tkm0;6?u2c287<>;d?3=>7p}lb;296~;d=3>370m;:678yvcb2909w0k6:5:89ac=?<1vhh50;0x9`?=<016i8485:pb4<72;q6i<485:?g`?2e3tynh7>52z?f1?2>34o86:;4}rd5>5<5s4o36:;4=d690<=z{o81<7<t=d0930=:lm0?56s|f183>7}:m90<963kf;6:?xua<3:1>v3j3;6:?8c12>?0q~kn:1818c22=k01im5749~w`d=838p1ik5489>`c<0=2wxin4?:3y>``<3027oh79:;|qe1?6=:r7n?7:7;<g4>23<uzl86=4={<g6>1><5l>1;85rsgg94?4|5ok18552f9841>{tnm0;6?u2f`87=>;a13=>7p}if;296~;a13>370hm:678yv`d2909w0h7:5:89c1=?<1v<?7:181876<3=>70?>3;6;?xu69>0;6?u210690<=:9881;85rs035>5<5s4;:87:n;<321?123ty:>?4?:3y>54e=<116=<l5749~w4442909w0?>b;6;?876l3=>7p}>2583>6}:98h1845210g930=:98k1855rs002>5<5s4;:o7:n;<32=?123ty:>84?:3y>54?=<016=<h5749~w4472909w0?>c;6:?876i3=>7p}>0b83>7}:99818452112930=z{8:36=4={<330?1234;;?7:7;|q242<72;q6==<5499>557=?<1v<>6:18187793>270??5;56?xu68h0;6?u211390d=:99<1;85rs02a>5<5s4;;<7:7;<337?123ty:==4?:3y>55b=?<16==h5499~w4762909w0??d;64?877m3=>7p}>7383>7}:9=k1;85214;902=z{8<26=4={<37b?2?34;?i79:;|q23f<72;q6=>j54`9>56`=?<1v<9>:18187313=>70?:a;64?xu6><0;6>u2157930=:9=318l5215c90==z{8=o6=4={<30`?2>34;?<79:;|q23=<72;q6=>954`9>563=?<1v<9;:18187293=>70?:d;64?xu6?:0;6?u215d930=:9<i18:5rs04e>5<5s4;8h79:;<363?203ty:;84?:3y>50c=?<16=8h5469~w40b2909w0?<7;56?872>3><7p}>7183>7}:9=91;85214:902=z{8996=4n{<361?2?34;?h7:7;<302?2>34;?87:7;<367?2?34;8o79:;<301?2>34;?97:7;<30b?2?34;?i7:7;|q22f<72;q6=8:5749>507=<01v<8m:181872;3=>70?:1;6b?xu6>;0;6?u2155930=:9=31845rs047>5<4s4;?879:;<37=?2e34;?m7:6;|q23`<72;q6=9?5749>56b=<11v<=>:18:874;3>270?;8;6;?873j3>370?:2;6;?874j3=>70?;3;6:?87383>270?<f;6:?873m3>27p}>7883>7}:9:<1;85212590<=z{8=<6=4={<300?1234;8;7:m;|q22d<72;q6=8<5749>507=<k1v<87:181873l3=>70?;f;6:?xu6>?0;6?u215`930=:9=l18o5rs040>5<5s4;?479:;<37=?2?3ty:?=4?:9y>56>=<116=9m5489>56g=?<16=995489>502=<116=>;5499>516=<116=9;5489~w4112909w0?<3;56?874?3>h7p}>6e83>7}:9<?1;85214390==z{8<<6=4={<37g?1234;?j7:n;|q224<72;q6=9<5749>515=<h1v<9m:181874m3=>70?<d;6a?xu6:o0;6;u212;930=:9=h18l5215f90d=:9:>1855215390==:9<o18:5rs05b>5<5s4;8479:;<303?2?3ty?6=4<{_68942=<>16876=;|q21?6=;rT:963>9;64?8722180q~?n:180[7f348m6994=0c9<7=z{::1<7=t^22896>=<>16?=472:p<3<72:qU4;5286873>;?>3297p}78;297~X?027357:8;<:;>=4<uz21<7=t^99>=?1234214?5rs`83>6}Yi27i6:;4=`8;6>{tk3:1?vPl;<f930=:k3297p}j:180[c<5o0<963j:908yv7>n3:1?vP>9g9>5d6=?<16=4h5839~w4g62908wS?n1:?2e7<0=27:m<472:p5d5=839pR<o<;<3b0?1234;j?76=;|q2e0<72:qU=l;4=0c5>23<58k>65<4}r3b3?6=;rT:m:521`:930=:9h=14?5rs0c:>5<4sW;j563>a`841>;6i003>6s|1``94?5|V8ki70?nc;56?87fj3297p}>ag83>6}Y9hl01<l?:67894ga2180qp`=c983>7}O1=1vb?m6:181M?33td9ol4?:3yK=1=zf;ii6=4={I;7?xh5kj0;68uG959~j7ec290>wE7;;|l1g`<72;qC595rn3ae>5<5sA3?7p`=d183>7}O1=1vb?j>:181M?33td9h?4?:3yK=1=zf;n86=4={I;7?xh5l=0;6?uG959~j7b22909wE7;;|l1`3<72;qC595rn3f4>5<5sA3?7p`=d983>7}O1=1vb?j6:181M?33td9hl4?:3yK=1=zf;ni6=4={I;7?xh5lj0;6?uG959~j7bc2909wE7;;|l1``<728qC595rn3fe>5<6sA3?7p`=e183>4}O1=1vb?k>:182M?33td9i?4?:0yK=1=zf;o86=4>{I;7?xh5m=0;6<uG959~j7c2290:wE7;;|l1a3<728qC595rn3g4>5<6sA3?7p`=e983>4}O1=1vb?k6:182M?33td9il4?:0yK=1=zf;oi6=4>{I;7?xh5mj0;6<uG959~j7cc290:wE7;;|l1a`<728qC595rn3ge>5<6sA3?7p`=f183>4}O1=1vb?h>:182M?33td9j?4?:0yK=1=zf;l86=4>{I;7?xh5n=0;6<uG959~j7`2290:wE7;;|l1b3<728qC595rn3d4>5<6sA3?7p`=f983>4}O1=1vb?h6:182M?33td9jl4?:0yK=1=zf;li6=4>{I;7?xh5nj0;6<uG959~j7`c290:wE7;;|l1b`<728qC595rn3de>5<6sA3?7p`<0183>4}O1=1vb>>>:182M?33td8<?4?:0yK=1=zf::86=4>{I;7?xh48=0;6<uG959~j662290:wE7;;|l043<728qC595rn224>5<6sA3?7p`<0983>4}O1=1vb>>6:182M?33td8<l4?:0yK=1=zf::i6=4>{I;7?xh48j0;6<uG959~j66c290:wE7;;|l04`<728qC595rn22e>5<6sA3?7p`<1183>4}O1=1vb>?>:182M?33td8=?4?:0yK=1=zf:;86=4>{I;7?xh49=0;6<uG959~j672290:wE7;;|l053<728qC595rn234>5<6sA3?7p`<1983>4}O1=1vb>?6:182M?33td8=l4?:0yK=1=zf:;i6=4>{I;7?xh49j0;6<uG959~j67c290:wE7;;|l05`<728qC595rn23e>5<6sA3?7p`<2183>4}O1=1vb><>:182M?33td8>?4?:0yK=1=zf:886=4>{I;7?xh4:=0;6<uG959~j642290:wE7;;|l063<728qC595rn204>5<6sA3?7p`<2983>4}O1=1vb><6:182M?33td8>l4?:0yK=1=zf:8i6=4>{I;7?xh4:j0;6<uG959~j64c290:wE7;;|l06`<728qC595rn20e>5<6sA3?7p`<3183>4}O1=1vb>=>:182M?33td8??4?:0yK=1=zf:986=4>{I;7?xh4;=0;6<uG959~j652290:wE7;;|l073<728qC595rn214>5<6sA3?7p`<3983>4}O1=1vb>=6:182M?33td8?l4?:0yK=1=zf:9i6=4>{I;7?xh4;j0;6<uG959~j65c290:wE7;;|l07`<728qC595rn21e>5<6sA3?7p`<4183>4}O1=1vb>:>:182M?33td88?4?:0yK=1=zf:>86=4>{I;7?xh4<=0;6<uG959~j622290:wE7;;|l003<728qC595rn264>5<6sA3?7p`<4983>4}O1=1vb>:6:182M?33td88l4?:0yK=1=zf:>i6=4>{I;7?xh4<j0;6<uG959~j62c290:wE7;;|l00`<728qC595rn26e>5<6sA3?7D6=:0y2>x\3;3;p944r}o164?6=9rB286G72;3x5?{]<:0:w875}|l014<728qC595F83824<zR=91=v;6:|m704=83;pD4:4I9095~7=uS>86<u:9;~j634290:wE7;;H:1>4}62tP??7?t588~yk52<3:1=vF64:K;6?7|93wQ8>4>{4;9yxh4=<0;6<uG959J<7<6s;0vV9=51z7:>x{i;<<1<7?tH868M=4=9r81qW:<:0y6=?{zf:?<6=4>{I;7?L>528q96pT;3;3x1<<zug9>47>51zJ:0>O?:3;p>7sU42820?=utd8944?:0yK=1=N0;0:w?4rZ5195~3>2twe?8o50;3xL<2<A181=v<5}[60>4}213wvb>;m:182M?33@296<u=:|X77?7|=00vqc=:c;295~N><2C3>7?t2;Y06<6s<31qp`<5e83>4}O1=1B4?4>{38~^15=9r?26psa34g94?7|@0>0E5<51z09y_2428q>57srn27e>5<6sA3?7D6=:0y1>x\3;3;p944r}o154?6=9rB286G72;3x6?{]<:0:w875}|~yEFDs:lj647m09675xFGJr:vLM^t}AB \ No newline at end of file
+$0a15=6>2.Yi{g|inl9$4(5=?$?"<.+1:0f?3ukp8<hj==4,12?D5<IMX87LBZ3:CM4==FF9UDNXH<;@L2<>GI9VEIYK=4AO0;?DH5WFH^J>5NN2:8EK5XGK_M?6OAD59BJA433HDO?95NNE65?DYNJ\L:7O<4B918FP@682H^EAJPT@PDAQGUKA<0NRGMUG38G7=D<;1H595LLJ25?FJL91:=7NBD2626?FJL:Q20OAE=X0:31>EKC0:>7NBDA058GIMF9M8<7NBDA0F73>EKCH;O485LLJ@21>EKCJ;>7NBDD078GIMC^j1H@FJY_EKUMAC23JF@JU64CMIE\4>712IDA@G[TDF4?FTBI]OO:6MPICWE5>B53M:97I?=;E01?A553M3:<6JID4FB@5>A0<2J4=k4DSA\WPEDMZYMYA84D^KAQC753Mc}eikP0^OVW@TXIE_:>6Jfvhff[4YJ]ZOYSLBZ139GmsocmV8TAX]JR^COQ44<L`|bhhQ<_LWPAWYFD\;;7Igyieg\IPUBZVKGY<5J8:G[TDHCMM;:7HV_AOFF@ZDDLVLB@H?;;DZSEKBBLVIDB^Z]AHLWW47<MQZJBIKK_HQF[COKM11M^BCPUBD2?B5<OGN=7E[PSDV2?L4<A980E<<4I308M64<A=80E8:4ICWE6>OI02CEJH\]EE;8MKOS[]K_I>5FNWg8MKYIOMUJBIQMCE48MJEHEY<0EBZVPD18H4203ECI^L\V6:NLEACC;2FDO;5COBIF@2=KGNCHMA:4LTV57>K6;:1F=9?>;L6\5@YOP@FBBUQNLTa8Idlhz_oydaac:OjjjtQm{ybcc64Mlw{[J769;1Fci{co^2\IPUBZVKGY<<4MnfvhjY6WD_XI_QNLT31?Hic}eeT?RCZSDP\EIS682Gdhxb`_LWPAWYFD\80B==4N060?K72;2D:::5AEUULVN753GolmykP0^OVW@TXIE_:>6@jg`vf[4YJ]ZOYSLBZ139MabgsmV8TAX]JR^COQ44<FlmjxhQ<_LWPAWYFD\;;7Ckhaug\IPUBZVKGY<5@8:M-33~cWE>0CO[I3:MMA7=HZ:1D^?=4OS10?JT3;2EY9>5@UU38T6=W9>90\<6<;QCG0>VFZ]<0\^J@ND18VJK53Z:<7^>PICWE6>U6?2Y:SDLZF038WMTBOVOSX_OLT^LDG`=T@[OLS@GA_RKYA3=T\H^^_>5[1118P4143];3>6Z64:VZT@5<]8=87X?7c:WPAWYQAZCI@H=4VBA:?SOB_V^R\H?k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i0TAVF_8-B[GGUk2RGTDQ6/C]AEWe<PERBS4!L_CCQg>^KP@U2#IQMASg8\I^NW0%S@UGP31g8\I^NW0%S@UGP30g8\I^NW0%S@UGP33g8\I^NW0%S@UGP32g8\I^NW0%S@UGP35g8\I^NW0%S@UGP34g8\I^NW0%S@UGP36g8\I^NW0%S@UGP39g8\I^NW0%S@UGP38g8\I^NW0%S@UGP41g8\I^NW0%S@UGP40g8\I^NW0%S@UGP43g8\I^NW0%S@UGP42g8\I^NW0%S@UGP45g8\I^NW0%S@UGP44g8\I^NW0%S@UGP47g8\I^NW0%S@UGP46g8\I^NW0%S@UGP49g8\I^NW0%S@UGP48f8\I^NW0%S@UGP5d9[H]OX1&RGTDQ:0d9[H]OX1&RGTDQ:1d9[H]OX1&RGTDQ:2d9[H]OX1&RGTDQ:3d9[H]OX1&RGTDQ:4d9[H]OX1&RGTDQ:5d9[H]OX1&RGTDQ:6d9[H]OX1&RGTDQ:7d9[H]OX1&RGTDQ:8e9[H]OX1&RGTDQ9e:ZO\LY>'QFSER8?e:ZO\LY>'QFSER8>e:ZO\LY>'QFSER8=e:ZO\LY>'QFSER8<e:ZO\LY>'QFSER8;e:ZO\LY>'QFSER8:e:ZO\LY>'QFSER89e:ZO\LY>'QFSER88e:ZO\LY>'QFSER87e:ZO\LY>'QFSER86d:ZO\LY>'QFSER9j;YN[MZ?(PERBS:>j;YN[MZ?(PERBS:?j;YN[MZ?(PERBS:<j;YN[MZ?(PERBS:=k;YN[MZ?(PERBS5h4XMZJ[<)_DQDT==;i;YN[MZ?(PERES<?<f:ZO\LY>'QFSBR?>4g9[H]OX1&RGTCQ>24d8\I^NW0%S@U@P134e?]J_AV3$TAVA_00;b>^KP@U2#UBWN^31=c=_DQCT5"VCXO]275`<PERBS4!WLYL\567a3QFSER7 XMZM[422n2RGTDQ6/YN[JZ73>o1S@UGP9.ZO\KY6<>l0TAVF_8-[H]HX9=2m7UBWI^;,\I^IW8>2j6VCXH]:+]J_FV;>=k5WLYK\=*^KPGU:98h4XMZJ[<)_DQDT=88i;YN[MZ?(PERES<;7f:ZO\LY>'QFSBR?90g9[H]OX1&RGTCQ>63d8\I^NW0%S@U@P177f?]J_AV3$TAVA_73f?]J_AV3$TAVA_70f?]J_AV3$TAVA_71f?]J_AV3$TAVA_76f?]J_AV3$TAVA_77f?]J_AV3$TAVA_83f?]J_AV3$TAVA_80f?]J_AV3$TAVA_81f?]J_AV3$TAVA_864?]J_FV;9;6VCXO]162=_DQDT>>94XMZM[7203QFSBR<:7:ZO\KY4;>1S@U@P3558\I^IW:?<7UBWN^153>^KPGU8;:5WLYL\7=1<PERES>78;YN[JZ26?2RGTCQ;269[H]HX<:=0TAVA_564?]J_FV>>96VAOS00?]IU<2RD^?84XRVOMG1<PZ^TKCJ9;Yfa[Lbe3QncS]|fmHf{f>^c`VZye`Gavb9[`mYWz`g_u}ki;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk5<Pz~87TK\4:XFVJ3<iVe~xl5n_nww[JDRN<1iSb{{a:`\kprXGK_M96mPotvb?fYh}}UDNXH:;e]lqqg<lVe~xRAMUG78aZir|h1nSb{{_N@VB0=aWfm6hPotv\KGSA=2mTcxzn;f]lqqYHJ\L37cikbtko`40<{l|ThiPdhqs[HSTM[UJ@X:4shem=>unogUDNXH7;rrbvqgi>11seagaxtl2<>~kfqUjyyaP0^zgf*et|'rioisO@q61e>FGp?0M694>{R0f>4gf2>81=>=?28;9511esg;j47?4n0c:>3=#9h915>5rS3f95dg=?;0:?>>=988202e<[<21=ol51;30754>13;?4?5\2e82fg<62898<?76:06;0>b1290:6<u\2d82ed<0:3;8?=<69;373g=#9>0:nk5G369uP6`=83;1=7o={R0f>4gf2>81=>=?28;9511e3-3968l4V0c4>7}r>90:7x8>:19~ 2`=;2.?>7>4$5295f7<,=;19>5m6;2957<fm3k9wE7?;%:g>3=#0>097)69:0ca?l2=831b=94?::k2=?6=3`9;6=44i9094?=n0=0;66a8:188k<<722ei6=44oe83>>i6im0;66a>ag83>>i6j80;66a>b283>>i6j<0;66a>b683>>i6j00;66a>be83>>d6i;0;6<4?:1y'<a<3=2B:m<5G919'5d0=9hi0(8951b28k12=83.:m;48a:9~f45d290:6=4?{%:g><7<@8k:7E7?;%3b2?133-?<6<m?;n5a>5<#9h<1;l54}cca>5<3290;w)6k:658L4g63A3;7)?n6;56?!3028i;7d:7:18'5d0=<o10e9750;&2e3<3n21b8l4?:%3b2?2a32e<=7>5$0c5>2g<3thji7>53;294~"?l3==7E?n1:J:4>"6i?0<96*:7;3`4>o303:1(<o9:5d8?l2>290/=l854g98k27=83.:m;48a:9~fg6=8381<7>t$9f917=O9h;0D4>4$0c5>40<,<=1=n>4i5594?"6i?0?j65`7083>!7f>3=j7E?n5:9~fd`=8381<7>t$9f917=O9h;0D4>4$0c5>40<,<=1=n>4i5594?"6i?0?j65`7083>!7f>3=j7E?n5:9~fdb=8391<7>t$9f955=O9h;0D4>4$0c5>c=#=>0:o=5f4983>!7f>3>m76g;9;29 4g12=l07b9>:18'5d0=?h10qol>:181>5<7s-2o68<4H0c2?M?73-;j:7?9;%74>4e73`><6=4+1`490c=<g>;1<7*>a784e>N6i<10qool:180>5<7s-2o6<>4H0c2?M?73-;j:7h4$4595f6<a=21<7*>a787b>=n<00;6)?n6;6e?>i093:1(<o9:6c8?xde:3:1>7>50z&;`?353A;j=6F60:&2e3<6>2.>;7?l0:k73?6=,8k=69h4;n52>5<#9h<1;l5G1`78?xdfi3:197>50z&;`?753A;j=6F60:&2e3<a3-?<6<m?;h6;>5<#9h<18k54i5;94?"6i?0?j65f4`83>!7f>3>m76g;b;29 4g12=l07b9>:18'5d0=?h10qoll:187>5<7s-2o6:94H0c2?M?73-;j:79:;%74>4e73`>36=4+1`490c=<a=31<7*>a787b>=n<h0;6)?n6;6e?>i093:1(<o9:6c8?xdej3:1?7>50z&;`?773A;j=6F60:&2e3<a3-?<6<m?;h6;>5<#9h<18k54i5;94?"6i?0?j65`7083>!7f>3=j76smbg83>7<729q/4i4:2:J2e4=O191/=l85179'12<6k91b8:4?:%3b2?2a32e<=7>5$0c5>2g<@8k>76smbd83>7<729q/4i4:2:J2e4=O191/=l85179'12<6k91b8:4?:%3b2?2a32e<=7>5$0c5>2g<@8k>76smbe83>7<729q/4i4:2:J2e4=O191/=l85179'12<6k91b8:4?:%3b2?2a32e<=7>5$0c5>2g<@8k>76sm9483>1<729q/4i487:J2e4=O191/=l85749'12<6k91b854?:%3b2?2a32c?57>5$0c5>1`<3`>j6=4+1`490c=<g>;1<7*>a784e>=zj0>1<7=50;2x =b=991C=l?4H828 4g12o1/9:4>c19j0=<72-;j:7:i;:k7=?6=,8k=69h4;n52>5<#9h<1;l54}c;5>5<5290;w)6k:408L4g63A3;7)?n6;35?!3028i;7d:8:18'5d0=<o10c:?50;&2e3<0i2B:m854}c;4>5<5290;w)6k:408L4g63A3;7)?n6;35?!3028i;7d:8:18'5d0=<o10c:?50;&2e3<0i2B:m854}c;;>5<5290;w)6k:408L4g63A3;7)?n6;35?!3028i;7d:8:18'5d0=<o10c:?50;&2e3<0i2B:m854}cc1>5<3290;w)6k:658L4g63A3;7)?n6;56?!3028i;7d:7:18'5d0=<o10e9750;&2e3<3n21b8l4?:%3b2?2a32e<=7>5$0c5>2g<3thj?7>52;294~"?l3?97E?n1:J:4>"6i?0::6*:7;3`4>o3?3:1(<o9:5d8?j16290/=l857`9K5d3<3thj<7>52;294~"?l3?97E?n1:J:4>"6i?0::6*:7;3`4>o3?3:1(<o9:5d8?j16290/=l857`9K5d3<3th2j7>53;294~"?l3;;7E?n1:J:4>"6i?0m7);8:0a3?l2?290/=l854g98m1?=83.:m;4;f:9l34<72-;j:79n;:a=`<72:0;6=u+8e824>N6i81C5=5+1`49b>"2?3;h<6g;8;29 4g12=l07d:6:18'5d0=<o10c:?50;&2e3<0i21vnl?50;094?6|,1n19?5G1`38L<6<,8k=6<84$4595f6<a==1<7*>a787b>=h?80;6)?n6;5b?M7f=21vn4j50;694?6|,1n1=<5G1`38L<6<,8k=6k5+5682g5=n<10;6)?n6;6e?>o313:1(<o9:5d8?l2f290/=l854g98k27=83.:m;48a:9~fa2=83>1<7>t$9f932=O9h;0D4>4$0c5>23<,<=1=n>4i5:94?"6i?0?j65f4883>!7f>3>m76g;a;29 4g12=l07b9>:18'5d0=?h10qoj=:187>5<7s-2o6<?4H0c2?M?73-;j:7h4$4595f6<a=21<7*>a787b>=n<00;6)?n6;6e?>o3i3:1(<o9:5d8?j16290/=l857`98ygb029096=4?{%:g>04<@8k:7E7?;%3b2?713-?<6<m?;h64>5<#9h<18k54o6394?"6i?0<m6F>a498ygb229096=4?{%:g>04<@8k:7E7?;%3b2?713-?<6<m?;h64>5<#9h<18k54o6394?"6i?0<m6F>a498ygb6290?6=4?{%:g>47<@8k:7E7?;%3b2?`<,<=1=n>4i5:94?"6i?0?j65f4883>!7f>3>m76g;a;29 4g12=l07b9>:18'5d0=?h10qoj9:181>5<7s-2o68<4H0c2?M?73-;j:7?9;%74>4e73`><6=4+1`490c=<g>;1<7*>a784e>N6i<10qoj<:187>5<7s-2o6<?4H0c2?M?73-;j:7h4$4595f6<a=21<7*>a787b>=n<00;6)?n6;6e?>o3i3:1(<o9:5d8?j16290/=l857`98yge0290?6=4?{%:g>21<@8k:7E7?;%3b2?123-?<6<m?;h6;>5<#9h<18k54i5;94?"6i?0?j65f4`83>!7f>3>m76a81;29 4g12>k07pll5;290?6=8r.3h7?>;I3b5>N>82.:m;4i;%74>4e73`>36=4+1`490c=<a=31<7*>a787b>=n<h0;6)?n6;6e?>i093:1(<o9:6c8?xddi3:1>7>50z&;`?353A;j=6F60:&2e3<6>2.>;7?l0:k73?6=,8k=69h4;n52>5<#9h<1;l5G1`78?xdd03:1>7>50z&;`?353A;j=6F60:&2e3<6>2.>;7?l0:k73?6=,8k=69h4;n52>5<#9h<1;l5G1`78?xdd<3:187>50z&;`?763A;j=6F60:&2e3<a3-?<6<m?;h6;>5<#9h<18k54i5;94?"6i?0?j65f4`83>!7f>3>m76a81;29 4g12>k07pll9;296?6=8r.3h7;=;I3b5>N>82.:m;4>6:&63?7d82c?;7>5$0c5>1`<3f=:6=4+1`493d=O9h?07pll6;290?6=8r.3h7?>;I3b5>N>82.:m;4i;%74>4e73`>36=4+1`490c=<a=31<7*>a787b>=n<h0;6)?n6;6e?>i093:1(<o9:6c8?xdb?3:1:7>50z&;`?1>3A;j=6F60:&2e3<0=2.>;7?l0:k7<?6=,8k=69h4;h6:>5<#9h<18k54i5c94?"6i?0?j65f4c83>!7f>3>m76g;c;29 4g12=l07b9>:18'5d0=?h10qok::181>5<7s-2o68<4H0c2?M?73-;j:7?9;%74>4e73`><6=4+1`490c=<g>;1<7*>a784e>N6i<10qok?:181>5<7s-2o68<4H0c2?M?73-;j:7?9;%74>4e73`><6=4+1`490c=<g>;1<7*>a784e>N6i<10qok;:187>5<7s-2o6<?4H0c2?M?73-;j:7h4$4595f6<a=21<7*>a787b>=n<00;6)?n6;6e?>o3i3:1(<o9:5d8?j16290/=l857`98ygc429096=4?{%:g>04<@8k:7E7?;%3b2?713-?<6<m?;h64>5<#9h<18k54o6394?"6i?0<m6F>a498ygce29096=4?{%:g>04<@8k:7E7?;%3b2?713-?<6<m?;h64>5<#9h<18k54o6394?"6i?0<m6F>a498ygc5290>6=4?{%:g>44<@8k:7E7?;%3b2?`<,<=1=n>4i5:94?"6i?0?j65f4883>!7f>3>m76g;a;29 4g12=l07d:m:18'5d0=<o10c:?50;&2e3<0i21vnh?50;094?6|,1n19?5G1`38L<6<,8k=6<84$4595f6<a==1<7*>a787b>=h?80;6)?n6;5b?M7f=21vnh750;094?6|,1n19?5G1`38L<6<,8k=6<84$4595f6<a==1<7*>a787b>=h?80;6)?n6;5b?M7f=21vnik50;694?6|,1n1=<5G1`38L<6<,8k=6k5+5682g5=n<10;6)?n6;6e?>o313:1(<o9:5d8?l2f290/=l854g98k27=83.:m;48a:9~f`0=8381<7>t$9f917=O9h;0D4>4$0c5>40<,<=1=n>4i5594?"6i?0?j65`7083>!7f>3=j7E?n5:9~fa`=83?1<7>t$9f957=O9h;0D4>4$0c5>c=#=>0:o=5f4983>!7f>3>m76g;9;29 4g12=l07d:n:18'5d0=<o10e9l50;&2e3<3n21d;<4?:%3b2?1f32wiil4?:383>5}#0m0>>6F>a09K=5=#9h<1=;5+5682g5=n<>0;6)?n6;6e?>i093:1(<o9:6c8L4g232wii54?:583>5}#0m0:=6F>a09K=5=#9h<1j6*:7;3`4>o303:1(<o9:5d8?l2>290/=l854g98m1g=83.:m;4;f:9l34<72-;j:79n;:a574=83>1<7>t$9f954=O9h;0D4>4$0c5>c=#=>0:o=5f4983>!7f>3>m76g;9;29 4g12=l07d:n:18'5d0=<o10c:?50;&2e3<0i21vn<<?:181>5<7s-2o68<4H0c2?M?73-;j:7?9;%74>4e73`><6=4+1`490c=<g>;1<7*>a784e>N6i<10qo?=3;296?6=8r.3h7;=;I3b5>N>82.:m;4>6:&63?7d82c?;7>5$0c5>1`<3f=:6=4+1`493d=O9h?07pl>2083>6<729q/4i486:J2e4=O191/=l85749'12<6k91b854?:%3b2?2a32c?57>5$0c5>1`<3f=:6=4+1`493d=<uk;9m7>54;294~"?l3=<7E?n1:J:4>"6i?0<96*:7;3`4>o303:1(<o9:5d8?l2>290/=l854g98m1g=83.:m;4;f:9l34<72-;j:79n;:a57?=83>1<7>t$9f954=O9h;0D4>4$0c5>c=#=>0:o=5f4983>!7f>3>m76g;9;29 4g12=l07d:n:18'5d0=<o10c:?50;&2e3<0i21vn<<m:181>5<7s-2o68<4H0c2?M?73-;j:7?9;%74>4e73`><6=4+1`490c=<g>;1<7*>a784e>N6i<10qo?=c;296?6=8r.3h7;=;I3b5>N>82.:m;4>6:&63?7d82c?;7>5$0c5>1`<3f=:6=4+1`493d=O9h?07pl>2683>6<729q/4i4>0:J2e4=O191/=l85f:&63?7d82c?47>5$0c5>1`<3`>26=4+1`490c=<g>;1<7*>a784e>=zj88o6=4=:183!>c2<80D<o>;I;3?!7f>3;=7);8:0a3?l20290/=l854g98k27=83.:m;48a:J2e0=<uk;947>53;294~"?l3;;7E?n1:J:4>"6i?0m7);8:0a3?l2?290/=l854g98m1?=83.:m;4;f:9l34<72-;j:79n;:a544=83?1<7>t$9f93==O9h;0D4>4$0c5>23<,<=1=n>4i5:94?"6i?0?j65f4883>!7f>3>m76g;a;29 4g12=l07d:m:18'5d0=<o10c:?50;&2e3<0i21vn<?<:180>5<7s-2o6<>4H0c2?M?73-;j:7h4$4595f6<a=21<7*>a787b>=n<00;6)?n6;6e?>i093:1(<o9:6c8?xd68o0;694?:1y'<a<692B:m<5G919'5d0=n2.>;7?l0:k7<?6=,8k=69h4;h6:>5<#9h<18k54i5c94?"6i?0?j65`7083>!7f>3=j76sm11g94?4=83:p(5j5539K5d7<@0:0(<o9:048 01=9j:0e9950;&2e3<3n21d;<4?:%3b2?1f3A;j965rb033>5<5290;w)6k:408L4g63A3;7)?n6;35?!3028i;7d:8:18'5d0=<o10c:?50;&2e3<0i2B:m854}c33g?6=;3:1<v*7d;33?M7f92B2<6*>a78e?!3028i;7d:7:18'5d0=<o10e9750;&2e3<3n21d;<4?:%3b2?1f32wi=<?50;094?6|,1n19?5G1`38L<6<,8k=6<84$4595f6<a==1<7*>a787b>=h?80;6)?n6;5b?M7f=21vn<>k:180>5<7s-2o6<>4H0c2?M?73-;j:7h4$4595f6<a=21<7*>a787b>=n<00;6)?n6;6e?>i093:1(<o9:6c8?xd69m0;6>4?:1y'<a<682B:m<5G919'5d0=n2.>;7?l0:k7<?6=,8k=69h4;h6:>5<#9h<18k54o6394?"6i?0<m65rb03`>5<5290;w)6k:408L4g63A3;7)?n6;35?!3028i;7d:8:18'5d0=<o10c:?50;&2e3<0i2B:m854}c32f?6=<3:1<v*7d;54?M7f92B2<6*>a7841>"2?3;h<6g;8;29 4g12=l07d:6:18'5d0=<o10e9o50;&2e3<3n21d;<4?:%3b2?1f32wiji4?:283>5}#0m0<:6F>a09K=5=#9h<1;85+5682g5=n<10;6)?n6;6e?>o313:1(<o9:5d8?j16290/=l857`98yg7793:1?7>50z&;`?113A;j=6F60:&2e3<0=2.>;7?l0:k7<?6=,8k=69h4;h6:>5<#9h<18k54o6394?"6i?0<m65rbgd94?5=83:p(5j5119K5d7<@0:0(<o9:g9'12<6k91b854?:%3b2?2a32c?57>5$0c5>1`<3f=:6=4+1`493d=<ukli6=4=:183!>c2<80D<o>;I;3?!7f>3;=7);8:0a3?l20290/=l854g98k27=83.:m;48a:J2e0=<uk;;<7>53;294~"?l3;;7E?n1:J:4>"6i?0m7);8:0a3?l2?290/=l854g98m1?=83.:m;4;f:9l34<72-;j:79n;:abf<72;0;6=u+8e866>N6i81C5=5+1`4953=#=>0:o=5f4683>!7f>3>m76a81;29 4g12>k0D<o:;:a554=8381<7>t$9f917=O9h;0D4>4$0c5>40<,<=1=n>4i5594?"6i?0?j65`7083>!7f>3=j7E?n5:9~fcc=8391<7>t$9f955=O9h;0D4>4$0c5>c=#=>0:o=5f4983>!7f>3>m76g;9;29 4g12=l07b9>:18'5d0=?h10qohn:187>5<7s-2o6<?4H0c2?M?73-;j:7h4$4595f6<a=21<7*>a787b>=n<00;6)?n6;6e?>o3i3:1(<o9:5d8?j16290/=l857`98yg`>29096=4?{%:g>04<@8k:7E7?;%3b2?713-?<6<m?;h64>5<#9h<18k54o6394?"6i?0<m6F>a498yg74i3:147?57zJ:4>"?l3?m7):9:01a?l562900e><50;9j76<722c887>5;h1f>5<<a181<75f8583>>i093:17o8=:184>5<7s-2o68m4H0c2?M?73-;j:76>;%74>4e73-?:6>84i5:94?"6i?0>7c?n4;28?l2>290/=l855:l2e1<632c?m7>5$0c5>0=i9h>1>65f4c83>!7f>3?0b<o;:298m1e=83.:m;4:;o3b0?2<3`>o6=4+1`491>h6i=0>76a81;29 4g12>k07p}<1;296~X4927=>7:l;|q06?6=:rT8>6392;6a?xu4;3:1>vP<3:?56?2c3ty887>52z\00>;1:3>27p}72;296~X?:27=>7:7;|q;0?6=:rT386392;6b?xu093:1>vP81:?56?163twi=>650;:95?1|@0:0(5j55g9'03<6;01b?<4?::k06?6=3`986=44i2694?=n;l0;66g72;29?l>32900c:?50;9a27<72>0;6=u+8e86g>N6i81C5=5+1`49<4=#=>0:o=5+50802>o303:1(<o9:49m5d2=821b844?:%3b2?3<f8k?6<54i5c94?"6i?0>7c?n4;08?l2e290/=l855:l2e1<432c?o7>5$0c5>0=i9h>1865f4e83>!7f>3?0b<o;:498k27=83.:m;48a:9~w67=838pR>?4=7090f=z{:81<7<t^208934=<k1v>=50;0xZ65<5?818i5rs2694?4|V:>01;<5489~w=4=838pR5<4=7090==z{1>1<7<t^968934=<h1v:?50;0xZ27<5?81;<5r}c302?6=03;1;vF60:&;`?3a3->=6<=8;h12>5<<a:81<75f3283>>o4<3:17d=j:188m=4=831b494?::m45?6=3k<96=48:183!>c2<i0D<o>;I;3?!7f>32:7);8:0a3?!362:<0e9650;&2e3<23g;j87>4;h6:>5<#9h<196`>a582?>o3i3:1(<o9:49m5d2=:21b8o4?:%3b2?3<f8k?6>54i5a94?"6i?0>7c?n4;68?l2c290/=l855:l2e1<232e<=7>5$0c5>2g<3ty8=7>52z\05>;1:3>h7p}<2;296~X4:27=>7:m;|q07?6=:rT8?6392;6g?xu4<3:1>vP<4:?56?2>3ty3>7>52z\;6>;1:3>37p}74;296~X?<27=>7:n;|q45?6=:rT<=6392;52?x{e9:>1<7651;5xL<6<,1n19k5+478270=n;80;66g<2;29?l542900e>:50;9j7`<722c3>7>5;h:7>5<<g>;1<75m6383>2<729q/4i4:c:J2e4=O191/=l85809'12<6k91/9<4<6:k7<?6=,8k=685a1`694>=n<00;6)?n6;78j4g32810e9o50;&2e3<23g;j87<4;h6a>5<#9h<196`>a580?>o3k3:1(<o9:49m5d2=<21b8i4?:%3b2?3<f8k?6854o6394?"6i?0<m65rs2394?4|V:;01;<54b9~w64=838pR><4=7090g=z{:91<7<t^218934=<m1v>:50;0xZ62<5?81845rs9094?4|V1801;<5499~w=2=838pR5:4=7090d=z{>;1<7<t^638934=?81vqo?9e;296?6=8r.3h7?9;I3b5>N>82.:m;4>6:&63?7d82c?;7>5$0c5>1`<3f=:6=4+1`493d=<uk;=57>52;294~"?l3;=7E?n1:J:4>"6i?0::6*:7;3`4>o3?3:1(<o9:5d8?j16290/=l857`98yg72m3:1>7>50z&;`?713A;j=6F60:&2e3<6>2.>;7?l0:k73?6=,8k=69h4;n52>5<#9h<1;l54}c360?6=:3:1<v*7d;35?M7f92B2<6*>a7822>"2?3;h<6g;7;29 4g12=l07b9>:18'5d0=?h10qo?97;296?6=8r.3h7;=;I3b5>N>82.:m;4>6:&63?7d82c?;7>5$0c5>1`<3f=:6=4+1`493d=O9h?07pl>6983>7<729q/4i4:2:J2e4=O191/=l85179'12<6k91b8:4?:%3b2?2a32e<=7>5$0c5>2g<@8k>76sm17`94?4=83:p(5j5539K5d7<@0:0(<o9:048 01=9j:0e9950;&2e3<3n21d;<4?:%3b2?1f3A;j965rb04b>5<5290;w)6k:408L4g63A3;7)?n6;35?!3028i;7d:8:18'5d0=<o10c:?50;&2e3<0i2B:m854}c351?6=:3:1<v*7d;71?M7f92B2<6*>a7822>"2?3;h<6g;7;29 4g12=l07b9>:18'5d0=?h1C=l;4;|`22a<72;0;6=u+8e866>N6i81C5=5+1`4953=#=>0:o=5f4683>!7f>3>m76a81;29 4g12>k0D<o:;:a532=8381<7>t$9f917=O9h;0D4>4$0c5>40<,<=1=n>4i5594?"6i?0?j65`7083>!7f>3=j7E?n5:9~f40129096=4?{%:g>04<@8k:7E7?;%3b2?713-?<6<m?;h64>5<#9h<18k54o6394?"6i?0<m6F>a498yg7203:1?7>50z&;`?113A;j=6F60:&2e3<0=2.>;7?l0:k7<?6=,8k=69h4;h6:>5<#9h<18k54o6394?"6i?0<m65rb07`>5<4290;w)6k:028L4g63A3;7)?n6;d8 01=9j:0e9650;&2e3<3n21b844?:%3b2?2a32e<=7>5$0c5>2g<3th:8i4?:283>5}#0m0:<6F>a09K=5=#9h<1j6*:7;3`4>o303:1(<o9:5d8?l2>290/=l854g98k27=83.:m;48a:9~f430290>6=4?{%:g>2><@8k:7E7?;%3b2?123-?<6<m?;h6;>5<#9h<18k54i5;94?"6i?0?j65f4`83>!7f>3>m76g;b;29 4g12=l07b9>:18'5d0=?h10qo?:3;297?6=8r.3h7??;I3b5>N>82.:m;4i;%74>4e73`>36=4+1`490c=<a=31<7*>a787b>=h?80;6)?n6;5b?>{e9=o1<7=50;2x =b=991C=l?4H828 4g12o1/9:4>c19j0=<72-;j:7:i;:k7=?6=,8k=69h4;n52>5<#9h<1;l54}c377?6=;3:1<v*7d;33?M7f92B2<6*>a78e?!3028i;7d:7:18'5d0=<o10e9750;&2e3<3n21d;<4?:%3b2?1f32wi=8h50;794?6|,1n1;55G1`38L<6<,8k=6:;4$4595f6<a=21<7*>a787b>=n<00;6)?n6;6e?>o3i3:1(<o9:5d8?l2e290/=l854g98k27=83.:m;48a:9~f43c290>6=4?{%:g>2><@8k:7E7?;%3b2?123-?<6<m?;h6;>5<#9h<18k54i5;94?"6i?0?j65f4`83>!7f>3>m76g;b;29 4g12=l07b9>:18'5d0=?h10qo?;b;291?6=8r.3h797;I3b5>N>82.:m;485:&63?7d82c?47>5$0c5>1`<3`>26=4+1`490c=<a=k1<7*>a787b>=n<k0;6)?n6;6e?>i093:1(<o9:6c8?xd6>j0;6?4?:1y'<a<2:2B:m<5G919'5d0=9?1/9:4>c19j02<72-;j:7:i;:m45?6=,8k=6:o4H0c6?>{e9=?1<7850;2x =b=?01C=l?4H828 4g12>?0(8951b28m1>=83.:m;4;f:9j0<<72-;j:7:i;:k7e?6=,8k=69h4;h6a>5<#9h<18k54i5a94?"6i?0?j65`7083>!7f>3=j76sm14394?2=83:p(5j5769K5d7<@0:0(<o9:678 01=9j:0e9650;&2e3<3n21b844?:%3b2?2a32c?m7>5$0c5>1`<3f=:6=4+1`493d=<uk;?m7>52;294~"?l3?97E?n1:J:4>"6i?0::6*:7;3`4>o3?3:1(<o9:5d8?j16290/=l857`9K5d3<3th::?4?:283>5}#0m0:<6F>a09K=5=#9h<1j6*:7;3`4>o303:1(<o9:5d8?l2>290/=l854g98k27=83.:m;48a:9~f40629086=4?{%:g>46<@8k:7E7?;%3b2?`<,<=1=n>4i5:94?"6i?0?j65f4883>!7f>3>m76a81;29 4g12>k07pl>5483>6<729q/4i4>0:J2e4=O191/=l85f:&63?7d82c?47>5$0c5>1`<3`>26=4+1`490c=<g>;1<7*>a784e>=zj8?96=4<:183!>c28:0D<o>;I;3?!7f>3l0(8951b28m1>=83.:m;4;f:9j0<<72-;j:7:i;:m45?6=,8k=6:o4;|`20c<72=0;6=u+8e825>N6i81C5=5+1`49b>"2?3;h<6g;8;29 4g12=l07d:6:18'5d0=<o10e9o50;&2e3<3n21d;<4?:%3b2?1f32wi=9750;094?6|,1n19?5G1`38L<6<,8k=6<84$4595f6<a==1<7*>a787b>=h?80;6)?n6;5b?M7f=21vn<:;:180>5<7s-2o6<>4H0c2?M?73-;j:7h4$4595f6<a=21<7*>a787b>=n<00;6)?n6;6e?>i093:1(<o9:6c8?xd6<;0;694?:1y'<a<692B:m<5G919'5d0=n2.>;7?l0:k7<?6=,8k=69h4;h6:>5<#9h<18k54i5c94?"6i?0?j65`7083>!7f>3=j76sm17294?5=83:p(5j5119K5d7<@0:0(<o9:g9'12<6k91b854?:%3b2?2a32c?57>5$0c5>1`<3f=:6=4+1`493d=<uk;>n7>54;294~"?l3;:7E?n1:J:4>"6i?0m7);8:0a3?l2?290/=l854g98m1?=83.:m;4;f:9j0d<72-;j:7:i;:m45?6=,8k=6:o4;|`21<<72=0;6=u+8e825>N6i81C5=5+1`49b>"2?3;h<6g;8;29 4g12=l07d:6:18'5d0=<o10e9o50;&2e3<3n21d;<4?:%3b2?1f32wi=8850;694?6|,1n1=<5G1`38L<6<,8k=6k5+5682g5=n<10;6)?n6;6e?>o313:1(<o9:5d8?l2f290/=l854g98k27=83.:m;48a:9~f42?29096=4?{%:g>04<@8k:7E7?;%3b2?713-?<6<m?;h64>5<#9h<18k54o6394?"6i?0<m6F>a498yg7393:187>50z&;`?763A;j=6F60:&2e3<a3-?<6<m?;h6;>5<#9h<18k54i5;94?"6i?0?j65f4`83>!7f>3>m76a81;29 4g12>k07pl>6283>6<729q/4i4>0:J2e4=O191/=l85f:&63?7d82c?47>5$0c5>1`<3`>26=4+1`490c=<g>;1<7*>a784e>=zj8?j6=4;:183!>c28;0D<o>;I;3?!7f>3l0(8951b28m1>=83.:m;4;f:9j0<<72-;j:7:i;:k7e?6=,8k=69h4;n52>5<#9h<1;l54}c364?6=;3:1<v*7d;3b4>N6i81C5=5+1`495<`<,<=1=n>4i5:94?"6i?0?j65f4883>!7f>3>m76a81;29 4g12>k07pl>4b83>6<729q/4i4>9d9K5d7<@0:0(<o9:0;e?!3028i;7d:7:18'5d0=<o10e9750;&2e3<3n21d;<4?:%3b2?1f3A;j965rb064>5<5290;w)6k:408L4g63A3;7)?n6;35?!3028i;7d:8:18'5d0=<o10c:?50;&2e3<0i2B:m854}c372?6=;3:1<v*7d;33?M7f92B2<6*>a78e?!3028i;7d:7:18'5d0=<o10e9750;&2e3<3n21d;<4?:%3b2?1f32wi=>4?:383>5}#0m0?i6F>a09K=5=#9h<1=;5G559'10<3n2.>;7?l0:k73?6=,8k=69h4;n52>5<#9h<1;l54}c3;>5<5290;w)6k:5g8L4g63A3;7)?n6;35?M333-?>69h4$4595f6<a==1<7*>a787b>=h?80;6)?n6;5b?>{e:o0;6?4?:1y'<a<3m2B:m<5G919'5d0=9?1C995+5487b>"2?3;h<6g;7;29 4g12=l07b9>:18'5d0=?h10qo=7:181>5<7s-2o69k4H0c2?M?73-;j:7?9;I77?!322=l0(8951b28m11=83.:m;4;f:9l34<72-;j:79n;:a<6<72;0;6=u+8e87a>N6i81C5=5+1`4953=O==1/984;f:&63?7d82c?;7>5$0c5>1`<3f=:6=4+1`493d=<uk2>6=4=:183!>c2=o0D<o>;I;3?!7f>3;=7E;;;%76>1`<,<=1=n>4i5594?"6i?0?j65`7083>!7f>3=j76sm8;296?6=8r.3h79<;I3b5>N>82.:m;4>6:J60>"2=3=j7);8:0a3?l20290/=l854g98k27=83.:m;48a:9~fd<72;0;6=u+8e847>N6i81C5=5+1`4953=O==1/9848a:&63?7d82c?;7>5$0c5>1`<3f=:6=4+1`493d=<uki1<7<50;2x =b=?:1C=l?4H828 4g128<0D8:4$4793d=#=>0:o=5f4683>!7f>3>m76a81;29 4g12>k07plj:181>5<7s-2o6:=4H0c2?M?73-;j:7?9;I77?!322>k0(8951b28m11=83.:m;4;f:9l34<72-;j:79n;:a5dc=8381<7>t$9f936=O9h;0D4>4$0c5>40<@<>0(8;57`9'12<6k91b8:4?:%3b2?2a32e<=7>5$0c5>2g<3th:n=4?:383>5}#0m0<?6F>a09K=5=#9h<1=;5G559'10<0i2.>;7?l0:k73?6=,8k=69h4;n52>5<#9h<1;l54}c3a6?6=:3:1<v*7d;50?M7f92B2<6*>a7822>N2<2.>979n;%74>4e73`><6=4+1`490c=<g>;1<7*>a784e>=zj8h?6=4=:183!>c2>90D<o>;I;3?!7f>3;=7E;;;%76>2g<,<=1=n>4i5594?"6i?0?j65`7083>!7f>3=j76sm1c494?4=83:p(5j5729K5d7<@0:0(<o9:048L02<,<?1;l5+5682g5=n<>0;6)?n6;6e?>i093:1(<o9:6c8?xd6j10;6?4?:1y'<a<0;2B:m<5G919'5d0=9?1C995+5484e>"2?3;h<6g;7;29 4g12=l07b9>:18'5d0=?h10qo?ma;296?6=8r.3h79<;I3b5>N>82.:m;4>6:J60>"2=3=j7);8:0a3?l20290/=l854g98k27=83.:m;48a:9~f4db29096=4?{%:g>25<@8k:7E7?;%3b2?713A??7);::6c8 01=9j:0e9950;&2e3<3n21d;<4?:%3b2?1f32wi87>51;294~"6i?0<i6F60:J64>"2=3>m7);6:0c8m2c=83.:m;48e:9~f42=83;1<7>t$0c5>2c<@0:0D8>4$4790c=#=00:96g8e;29 4g12>o07pl>9;295?6=8r.:m;48e:J:4>N282.>97:i;%7:>63<a>o1<7*>a784a>=zj::1<7?50;2x 4g12>o0D4>4H428 03=<o1/944>b:k4a?6=,8k=6:k4;|`;6?6=93:1<v*>a784a>N>82B><6*:5;6e?!3>21i0e:k50;&2e3<0m21vn5:50;394?6|,8k=6:k4H828L06<,<?18k5+588;=>o0m3:1(<o9:6g8?xd0290:6=4?{%3b2?1b3A3;7E;?;%76>2g<,<31:l5`7d83>!7f>3=n76sm9;295?6=8r.:m;48e:J:4>N282.>979n;%7:>3?<g>o1<7*>a784a>=zjk0;6<4?:1y'5d0=?l1C5=5G519'10<0i2.>579k;n5f>5<#9h<1;h54}cf94?7=83:p(<o9:6g8L<6<@<:0(8;57`9'1<<0k2e<i7>5$0c5>2c<3th:mi4?:083>5}#9h<1;h5G919K15=#=<0<m6*:9;:b?j1b290/=l857d98yg7fn3:1=7>50z&2e3<0m2B2<6F:0:&61?1f3-?265l4o6g94?"6i?0<i65rb0`2>5<6290;w)?n6;5f?M?73A?;7);::6c8 0?=0l1d;h4?:%3b2?1b32wi=o=50;394?6|,8k=6:k4H828L06<,<?1;l5+588;b>i0m3:1(<o9:6g8?xd6j<0;6<4?:1y'5d0=?l1C5=5G519'10<0i2.>57;j;n5f>5<#9h<1;h54}c3a3?6=93:1<v*>a784a>N>82B><6*:5;5b?!3>2?20c:k50;&2e3<0m21vn<l6:182>5<7s-;j:79j;I;3?M373-?>6:o4$4;913=h?l0;6)?n6;5f?>{e9kn1<7?50;2x 4g12>o0D4>4H428 03=?h1/944:d:m4a?6=,8k=6:k4;|q27?6=9>q6n=4;7:?be?2?34hi6974=8690<=:i:0?;636d;6:?8b52=k01i?54`9>`6<3i27h97:n;<a7>1g<5j<18l52e4873>;b83><70k;:5c89ac=<h16i54;a:?267<3027:>44;a:?256<3127:=n4;7:?271<4927:?79>;|q2<?6=9<q6mk4;7:?b`?2>34hm6994=84902=:l>0?;63k1;6:?8ef2==01n:5489>a6<3?27nn7:8;<ff>1?<5ml18l52132902=:9;h18:5211d90d=:99o18:5210`90d=:99:18452fc873>;6;?08=63>8;52?xu5n3:1=ou2b0873>;fk3>270on:5;89gc=<>165:4;7:?b4?20343m6964=8g90==:l<0?;63k1;6;?8e?2==01n:5499>a7<3127n=7:8;<g:>11<5mo18552131902=:9;i18:5213590==:98:18:5211a90==:98h18452fd87=>;68;0?;63ic;64?874039:70<i:638yv5?290:5v3m2;64?8gf2=h01oj5469>==<3?27j=7:8;<;g>1><5m<18:52d287<>;d13><70m9:5:89`0=<>16hk4;8:?fe?2034o36964=002>1?<588o6994=00;>1?<58;:6994=02g>1><58;i6964=g;902=:nh0?463ie;6;?874i39:70=7:638yv>4290>w0?<a;:1?874032970?<6;:1?874<329706<:638yv>2290>w0?<a;:7?874032?70?<6;:7?874<32?706::638yv7>=3:1>v3n2;52?874i3987p}>9583>7}:il0<=63>39807>{t9091<7<t=c`934=:9:<1?>5rs0;1>5<5s43?6:?4=017>65<uz;2:7>52z?244<0927:?l4<4:p5<1=838p1h95709>56>=;=1v<77:1818b32>;01<=9:268yv7>13:1>v3l7;52?874<39?7p}>9`83>7}:9;;1;<5212c977=z{83i6=4={<31e?1634;847==;|q2=f<72;q6=<<5709>560=;;1v<7k:181876l3=:70?<4;11?xu60l0;6:u2126934=:9=<18452155902=:9=i1845214290<=:9<k18l5217190<=z{82m6=46{<302?1634;?o7:7;<364?2?34;?=7:7;<37<?2034;>:7:n;<36=?2>34;>n7:6;<354?2>3ty:5=4?:`y>56>=?816=8o5499>514=<h16=9:5499>51?=<>16=9h54`9>504=<016=8;5499>537=<016=;<5489~w4?6290<w0?<a;52?87393>j70?:6;6:?873:3>270?;f;6:?873i3><70?:1;6;?xu?2909w0?:4;52?8>=<>1vl4?:3y>50c=?816m7:8;|q`>5<5s4;=579>;<a902=z{l0;6?u217g934=:m3><7p}>ad83>7}:9?>1;<521`g902=z{8h;6=4={<351?1634;i<7:8;|q2f7<72;q6=;85709>5g4=<>1v<l;:18187103=:70?m4;64?xu6j?0;6?u2175934=:9k<18:5rs0`;>5<5s4;=m79>;<3a<?203ty:nl4?:3y>53d=?816=oo5469~w4db2909w0?9d;52?87em3><7p}>8e83>=}:9:i1;o5212c97`=:9:21?h5212497`=:9:>1?h52146902=:9<o18:5217;902=z{?=1<7<t=0c1>12<58<n6994}r`4>5<5s4ki6:?4=`f90==z{k>1<7<t=``90d=:j90<=6s|b`83>7}:io0<=63na;6b?xue03:1>v3ne;6:?8gc2>;0q~l::1818ge2=201o?5709~wg5=838p1ll5489>ef<092wxn;4?:3y>ef<3027i>79>;|qa=?6=:r7ji7:7;<cb>27<uzi86=4={<``>27<5kh1855rsb094?4|5ki18552bg845>{tk80;6?u2bb87=>;em3=:7p}l0;296~;ek3>j70lk:638yv?d2909w07::6389<2=<11v4750;0x9<3=<h165;481:p=d<72;q6584;9:?:3?163ty2n7>52z?:1?2?34336:?4}rc7>5<5s4k86:?4=8g90<=z{h?1<7<t=`2934=:1m0?m6s|a883>7}:i;0?4636f;52?xuf?3:1>v3n2;6b?8?b2>;0q~o9:1818?a2=301l?5709~wd>=838p1l<5489>=a<092wxh44?:3y>`1<3127o>79>;|qg`?6=:r7o;79>;<f0>1?<uzni6=4={<f1>1?<5m?1;<5rse:94?4|5m>18l52d0845>{tlj0;6?u2d387<>;c>3=:7p}ka;296~;c<3>370j<:638yved2909w0m8:5;89f3=?81vi>50;0x9fg=?816o;4;9:pg`<72;q6o84;9:?`<?163tyhn7>52z?`3?2f34i?6:?4}rae>5<5s4i>6964=b;934=z{jn1<7<t=b590==:k?0<=6s|f383>7}:m<0<=63j2;6a?xubl3:1>v3j0;52?8ba2=h0q~kl:1818c02=h01h:5709~wc7=838p1h=5709>a7<3i2wxj54?:3y>ag<0927n47:6;|qe1?6=:r7n;7:6;<g1>27<uzon6=4={<g2>27<5ml1845rsg294?4|5l>18452e8845>{tn=0;6?u2e687g>;cm3=:7p}i3;296~;b:3>370k9:638yv`12909w0k8:5:89a`=?81vk950;0x9`2=<116il481:pac<72;q6i:4;a:?f<?163ty:>;4?:3y>574=?816=??5499~w4422909w0?=2;6:?87583=:7p}>2583>7}:9;818l52131934=z{89;6=4={<31e?2?34;9579>;|q274<72;q6=?75499>57d=?81v<==:18087513>270?=c;52?87503>37p}>2g83>7}:9;k18l52135934=z{8986=4={<313?2>34;9h79>;|q26`<72;q6=?o5489>57>=?81v<?;:181876:3>i70?>3;52?xu69<0;6?u210090==:99l1;<5rs03;>5<3s4;:?7:7;<33a?1634;;o7:6;<33`?2>3ty:=l4?:3y>55`=<016=<>5709~w4702909w0?>2;6:?877k3=:7p}>1883>7}:99l18552103934=z{8;=6=4={<326?2f34;;h79>;|q25`<72;q6=<j5489>54e=?81v<?i:181876l3>370?>b;52?xu68:0;6?u211290==:nm0<=6s|11794?4|5ol1;<52fe87<>{t9921<7<t=gc90d=:nk0<=6s|11`94?4|58:;6:?4=022>1><uz;;57>52z?ee?2>34lh6:?4}r332?6=:r7:<?481:?eb?2>3ty:<94?:3y>b`<0927mh7:6;|q24d<72;q6jl481:?244<312wx==950;0x9c?=?816jk4;8:p5=6=838p1<;7:63894002==0q~?87;296~;6=m0?463>5b845>{t91k1<7<t=06a>1g<58>o6:?4}r34b?6=:r7:9:481:?22=<3?2wx=:=50;1x94342>;01<;8:5c8943?2=20q~?7b;296~;6<k0?563>4d845>{t91<1<7<t=066>1g<58>86:?4}r3;6?6=:r7:9k481:?22g<3?2wx=5?50;0x943c2>;01<8n:558yv70l3:1>v3>4c845>;6><0?;6s|19194?4|58<h6:?4=04g>11<uz;<o7>52z?200<0927::94;7:p52c=838p1<;>:63894012==0q~?;0;29e~;6>:0?463>5c87<>;6<=0?563>5387<>;6>80?463>4`845>;6<:0?563>5287<>;6<m0?463>5b87<>{t9>k1<7<t=041>27<58?m6974}r34=?6=:r7::<481:?21c<3i2wx=:>50;0x94322>;01<;8:5;8yv70:3:1?v3>53845>;6=>0?n63>5987=>{t91i1<7<t=06e>27<58>i6964}r30b?6=1r7:8<4;9:?213<3027:944;8:?225<3027:84481:?214<3127:8h4;9:?20a<3127:9n4;9:p5=1=838p1<:;:63894222=30q~?75;296~;6<;0<=63>4487f>{t9>21<7<t=043>27<58?m69l4}r342?6=:r7:9o481:?21a<312wx=::50;0x943>2>;01<;k:5`8yv7093:1>v3>57845>;6=>0?46s|12g94?>|58>=6964=07b>1?<58>36:?4=076>1?<58<96964=060>1><58>n6964=070>1?<uz;387>52z?204<0927:884;c:p52d=838p1<8<:638943a2=20q~?85;296~;6=h0<=63>5e87e>{t9?l1<7<t=073>27<58?:69o4}r3;=?6=:r7:8n481:?20g<3j2wx=>j50;4x94202>;01<;6:5c8943e2=k01<:=:5:8942a2=201<8l:558yv7?03:1>v3>47845>;6<<0?46s|4;297~X334;86994=584a>{t9=0;6>uQ159>5=<3?27:879j;|q2=?6=;rT:563=f;64?87>2>o0q~=?:180[5734936994=2293`=z{181<7=t^9089=5=<>164?48e:p<1<72:qU495284873>;?<3=n7p}8:180[1<510<=638:6g8yv?=839pR452a;52?8?=?l1vo4?:2y]f>;d2>;01o48e:p`?6=;rTo70k5709>`?1b3ty:mi4?:2y]5db<58kn6:?4=0cg>2c<uz;jj7>53z\2ec=:9k:1;<521`d93`=z{8h:6=4<{_3a5>;6j;0<=63>b084a>{t9k91<7=t^0`0?87e<3=:70?m3;5f?xu6j<0;6>uQ1c7894d12>;01<l::6g8yv7e?3:1?vP>b69>5g>=?816=o957d9~w4d>2908wS?m9:?2fd<0927:n448e:p5gb=839pR<lk;<3aa?1634;ih79j;|m6`0=838pD4>4}o0f3?6=:rB2<6sa2d:94?4|@0:0qc<j9;296~N>82we>ho50;7xL<6<ug8nn7>55zJ:4>{i:li1<7<tH828yk4bl3:1>vF60:m6`c=838pD4>4}o0fb?6=:rB2<6sa2g294?4|@0:0qc<i1;296~N>82we>k<50;0xL<6<ug8m?7>52zJ:4>{i:o>1<7<tH828yk4a=3:1>vF60:m6c0=838pD4>4}o0e3?6=:rB2<6sa2g:94?4|@0:0qc<i9;296~N>82we>ko50;0xL<6<ug8mn7>52zJ:4>{i:oi1<7?tH828yk4al3:1=vF60:m6cc=83;pD4>4}o0eb?6=9rB2<6sa31294?7|@0:0qc=?1;295~N>82we?=<50;3xL<6<ug9;?7>51zJ:4>{i;9>1<7?tH828yk57=3:1=vF60:m750=83;pD4>4}o133?6=9rB2<6sa31:94?7|@0:0qc=?9;295~N>82we?=o50;3xL<6<ug9;n7>51zJ:4>{i;9i1<7?tH828yk57l3:1=vF60:m75c=83;pD4>4}o13b?6=9rB2<6sa30294?7|@0:0qc=>1;295~N>82we?<<50;3xL<6<ug9:?7>51zJ:4>{i;8>1<7?tH828yk56=3:1=vF60:m740=83;pD4>4}o123?6=9rB2<6sa30:94?7|@0:0qc=>9;295~N>82we?<o50;3xL<6<ug9:n7>51zJ:4>{i;8i1<7?tH828yk56l3:1=vF60:m74c=83;pD4>4}o12b?6=9rB2<6sa33294?7|@0:0qc==1;295~N>82we??<50;3xL<6<ug99?7>51zJ:4>{i;;>1<7?tH828yk55=3:1=vF60:m770=83;pD4>4}o113?6=9rB2<6sa33:94?7|@0:0qc==9;295~N>82we??o50;3xL<6<ug99n7>51zJ:4>{i;;i1<7?tH828yk55l3:1=vF60:m77c=83;pD4>4}o11b?6=9rB2<6sa32294?7|@0:0qc=<1;295~N>82we?><50;3xL<6<ug98?7>51zJ:4>{i;:>1<7?tH828yk54=3:1=vF60:m760=83;pD4>4}o103?6=9rB2<6sa32:94?7|@0:0qc=<9;295~N>82we?>o50;3xL<6<ug98n7>51zJ:4>{i;:i1<7?tH828yk54l3:1=vF60:m76c=83;pD4>4}o10b?6=9rB2<6sa35294?7|@0:0qc=;1;295~N>82we?9<50;3xL<6<ug9??7>51zJ:4>{i;=>1<7?tH828yk53=3:1=vF60:m710=83;pD4>4}o173?6=9rB2<6sa35:94?7|@0:0qc=;9;295~N>82we?9o50;3xL<6<ug9?n7>51zJ:4>{i;=i1<7?tH828yk53l3:1=vF60:m71c=83;pD4>4}o17b?6=9rB2<6sa34294?7|@0:0qc=:1;295~N>82we?8<50;3xL<6<ug9>?7>51zJ:4>{i;<>1<7?tH828yk52=3:1=vF60:m700=83;pD4>4}o163?6=9rB2<6sa34:94?7|@0:0qc=:9;295~N>82we?8o50;3xL<6<ug9>n7>51zJ:4>{i;<i1<7?tH828yk52l3:1=vF60:m70c=83;pD4>4}o16b?6=9rB2<6sa37294?7|@0:0qc=91;295~N>82we?;<50;3xL<6<ug9=?7>51zJ:4>{i;?>1<7?tH828yk51=3:1=vF60:m730=83;pD4>4}o153?6=9rB2<6sa37:94?7|@0:0qc=99;295~N>82we?;o50;3xL<6<ug9=n7>51zJ:4>{i;?i1<7?tH828yk51l3:1=vF60:m73c=83;pD4>4}o15b?6=9rB2<6sa36294?7|@0:0qc=81;295~N>82we?:<50;3xL<6<ug9<?7>51zJ:4>{i;>>1<7?tH828yk50=3:1=vF60:m720=83;pD4>4I6g95~7=uS>86<u:9;~j610290:wE7?;H5f>4}62tP??7?t588~yk5003:1=vF60:K4a?7|93wQ8>4>{4;9yxh4?00;6<uG919J3`<6s80vV9=51z7:>x{i;>k1<7?tH828M2c=9r;1qW:<:0y6=?{zf:=i6=4>{I;3?L1b28q:6pT;3;3x1<<zug9<o7>51zJ:4>O0m3;p>7sU42820?=utd8;i4?:0yK=5=N?l0:w?4rZ5195~3>2twe?:k50;3xL<6<A>o1=v<5}[60>4}213wvb>9i:182M?73@=n6<u=:|X77?7|=00vqc=70;295~N>82C<i7?t2;Y06<6s<31qp`<8083>4}O191B;h4>{38~^15=9r?26psa39094?7|@0:0E:k51z09y_2428q>57srn2:0>5<6sA3;7D9j:0y1>x\3;3;p944r}o1;0?6=9rB2<6G8e;3x6?{]<:0:w875}|l0<0<728qC5=5F7d827<zR=91=v;6:|m7=0=83;pD4>4I6g95~4=uS>86<u:9;~j6>0290:wE7?;H5f>4}52tP??7?t588~yx{zHIIp8?o569`1`17>uIJIw=sO@Qy~DE \ No newline at end of file
diff --git a/ALU.ngr b/ALU.ngr
index 6cf27d1..f271a7a 100755
--- a/ALU.ngr
+++ b/ALU.ngr
@@ -1,3 +1,3 @@
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
-$0226><'&ko#h`h/tqfvv)j'n%fklljd.oz$aid}doex~!jc232+ige9&kgy#xi1191>LHW]]0Xia2?:0<f?3ukp8<hj==4,12?D5<IE_87L@?3:CM56=FF;90MC=;;@LG61=FFM9?7L@K449B[GGU92H87O[I8:@VWZOINF?0NRLNR09@=>EHEDC_XHJ8;BPFEQCC=2ITNL\>;E08@54<L880H?<4D278@ZDFZ=1Omyo:;Ecwe53<Lh~j=85Kauc11>Bf|h9:>6Jfvhff[5YJ]ZOYSLBZ139GmsocmV;TAX]JR^COQ44<L`|bhhQ=_LWPAWYFD\;97Igyieg\7ZKR[LXTMA[>0:FjrlbbWD_XI_QNLT38A4=@;2MEH;5GU^QFP4=N:2C;>6G>2:K16>O4:2C??6GAVd9HA@VX@@OYM_MGY69OKBODIE;:7@:P1D]K\LJNFQUJ@X94Mlw{[J76;2GfyuQ\1^F0[HsW9Ud=<<4MnfvhjY7WD_XI_QNLT31?Hic}eeT=RCZSDP\EIS6:2Gdhxb`_2]NQVCUWHF^==5BoewokZKR[LXTMA[=;O36?KGIL;?0BL@K349MEKB3<2DD^>?=;OgdeqcX8VG^_H\PAMW26>Hboh~nS<QBURGQ[DJR9;1Eijo{e^0\IPUBZVKGY<<4Ndebp`Y4WD_XI_QNLT33?Kc`i}oTAX]JR^COQ4=H02E%;;vk_M18KW443FX8?6A]429LV07<X=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB12ZD^YQKAUCa?UIU\VXN_XB[b:RLVQYTMEOHX>5]TM:8VQJX\PZN:6\jstnw6>U7:2Y:h6]>_E1\Ip~X8Ve?7^GUEg9PKPTDMVLB@H@NMDf8WJSUKLUGECKAB29Pai><[lf7<7?16:Qfh969>2Yn`1?14:VZT@5<^JI27[GJW^VZT@3<_@N_D<j4XHNJJ]+_LK*;"<.\TT@#4+7'IZIBE;5WLYK\52=_DQCT==94XMZJ[4703QFSER?=7:ZO\LY6;>1S@UGP1558\I^NW8?<7UBWI^352>^KP@U9:6VCXH]03>^KP@U8<:5WLYK\741<PERBS><8;YN[MZ54?2RGTDQ<469[H]OX;<=0TAVF_254?]J_AV93;6VCXH]0=3=_DQCT8:5WLYK\051<PERBS9?8;YN[MZ25?2RGTDQ;369[H]OX<==0TAVF_574?]J_AV>=;6VCXH]732=_DQCT8594XMZJ[1??3QFSER:P079[H]OX=>1S@UGP5158\I^NW<;<7UBWI^713>^KP@U>?:5WLYK\111<PERBS8;8;YN[MZ31?2RGTDQ:769[H]OX=120TAVF_4]22>^KP@U=;6VCXH]542=_DQCT:<94XMZJ[3403QFSER8<7:ZO\LY1<>1S@UGP6458\I^NW?<<7UBWI^443>^KP@U=4:5WLYK\2<><PERBS;Q=6:ZO\LY0?2RGTDQ8069[H]OX?8=0TAVF_604?]J_AV=846VCXH]4[60<PERBS584XMZJ[<0<PERES<94XMZM[46?3QFSBR??599[H]HX98937UBWN^3202=_DQDT=?64XMZM[44202RGTCQ>27:8\I^IW88346VCXO]26<1<PERES<=7;YN[JZ74811S@U@P1234?]J_FV;?46VCXO]200><PERES<:98:ZO\KY6<>20TAVA_06;<>^KPGU:8494XMZM[43?3QFSBR?:199[H]HX9<?37UBWN^362==_DQDT=868;YN[JZ7102RGTCQ>61:8\I^IW8<946VCXO]2201<PERES<98;YN[JZ7??2RGTCQ>979[H]HX:>1S@U@P2158\I^IW;;<7UBWN^013>^KPGU9?:5WLYL\611<PERES?;8;YN[JZ41?2RGTCQ=769[H]HX:1<0TAVA_258\I^IW:;<7UBWN^103>^KPGU88:5WLYL\701<PERES>88;YN[JZ50?2RGTCQ<869[H]HX;0<0TAVA_558\I^IW=;=7UBWN^75?]J_FV<<7UBWN^423>^KPGU=>:5WLYL\261<PERES;:8;YN[JZ02>2RGTCQ86:ZO\KY?>2RGTCQ67:ZO\KY>9>1S@U@P9358\I^IW09<7UBWN^;71>^IG[8?7UA]279[WQJNJ>1S_YQHNE3f?]USW@G[@HCJNUCWMJHXKFDXX_OFNUQ24>^T\VX_@R^]OQGQP\0<PmhTEi??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmm7>^t|01SyIBoego1>gXg|~97o>=;c31?g453k9>7oQ`uu78gZir|<1oSb{{5:g\kpr23oUdyy;4g^mvp6=j}q>0cxz?4:mvp42<g|~986azt248v`urd};=7~ky_rgd[aotxVG^_H\PAMW7?vo`f8;0tn8|ly35)6+d{n998pNOp5c0?EFmj0M6?4>{R30>`d=<=0:?>>=96805625sgo26<5ae`85?!c?2?;0q^?=:d`901<6;::95:4<1261?a2=83;1=v]>3;ga>12=9:9;>49530176>pA=90;6<4>:1yP56<bj3>?6<=<03;4>674<;1/9k4<1:&7e?4<j=0;6<<59;00Ic128q/8l4>019~H`1=:r.>;7>4n4:971?<u-?o695f3;29?lb=831b=<4?::k21?6=3`?86=44i4694?=h=3:17b850;9l3?6=3f21<75`ee83>>ia:3:17bh<:188kc2=831dj84?::me2?6=3fl<6=44ogd94?=e>;0;654;:9yOa3<6sA9;7pBj7;0x 01=82d>47=71:'1a<5<2cni7>5;hge>5<<ao:1<75ff083>>ia13:17bhn:188kcd=831djn4?::`:a?6==331hvBj6;3xL66<uEo<6?u+5683?k3?2:997p*:d;02?lcb2900ehh50;9jb5<722cm=7>5;ndg>5<<j?81<7;50;2xH`1=:r.>;7>4n4:96<c<u-?o6o5f2`83>>o5j3:17d<l:188m7b=831d8>4?::a=c<72;0;6=uCe681!30291e954=b79~ 0b=:o1b>44?::m77?6=3th=n7>53;294~Jb?38p(8950:l6<?4f?2w/9i46;h0b>5<<a;h1<75`4283>>{e1l0;6?4?:1yOa2<5s-?<6=5a5981f1=z,<n1>k5f2883>>i3;3:17pl85;297?6=8rFn;7<t$4594>h2038jm6s+5e8:?l4f2900e?l50;9l06<722wi4n4?:383>5}Km>09w);8:19m1=<5j91v(8j52g9j6<<722e??7>5;|`:<?6=:3:1<vBj7;0x 01=82d>47<m2:'1a<5n2c957>5;n60>5<<uk2:6=4<:183Ic02;q/9:4?;o7;>7gc3t.>h7::;h0b>5<<a;h1<75`4283>>{e>h0;694?:1yOa2<5s-?<6=5a5981e6=z,<n18;5f2`83>>o5j3:17d<l:188k15=831vhk50;1xZ`c<5?81>i529g81=>{tmo0;69uQeg9>27<5j27=n7<m;<;f>7?<uzl;6=4<{_d3?8122;h015m5289~wc7=839pRk?4=7096d=:110956s|d583>7}:>;0??6371;0b?xuek3:1>v36f;60?80e2;k0q~o?:18180e2=901;o52c9~wg>=838p14k5429>2d<5i2wxh?4?:3y>30<3;273=7<m;|qgg?6=:r7=>7<l;<:`>15<uzkj6=4={<;;>15<5?k1>n5rsgf94?4|Von015?5429~wg`=838p1:;52`9>2d<3;2wvn4h50;791??|Dl<1=vF<0:Oa2<5s-?<6=5a598072=z,<n1>?5fed83>>obn3:17dh?:188mc7=831dji4?::`41?6=:3:1<vBj7;0x 01=82d>47<k6:'1a<5n2c957>5;n60>5<<uk<j6=4;:183Ic02;q/9:4?;o7;>7b73t.>h7o4i3c94?=n:k0;66g=c;29?j242900qo7i:181>5<7sEo<6?u+5683?k3?2;n37p*:d;0e?l4>2900c9=50;9~f3d=8381<7>tLd596~"2?3:0b8652e68y!3c2;l0e?750;9l06<722wi:?4?:583>5}Km>09w);8:19m1=<5kj1v(8j5479j6d<722c9n7>5;h0`>5<<g=91<75rsdg94?4|Vlo01:;5289~w``=839pRhh4=7c96f=:1o0956s|f183>7}Yn916:o4=9:pb4<72;qUj<526`81f>{tk00;6?u274877>;1:38h7p}n0;296~;1i3>8708=:3c8yvbe2909w07i:518934=:k1voh50;0x93g=:h16:o4;3:pba<72;qUji5263877>{zj?91<7;52;4xH`0=9rB8<6sCe681!30291e954<3b9~ 0b=::1bih4?::kfb?6=3`l;6=44ig394?=hnm0;66l9b;290?6=8rFn;7<t$4594>h2038ni6s+5e80`>o5i3:17d<m:188m7e=831d8>4?::a2d<72:0;6=uCe681!30291e954=ec9~ 0b=12c9m7>5;h0a>5<<g=91<75rsdg94?4|Vlo01;l52`9~w``=838pRhh4=7`96g=z{o:1<7<t^g2893d=:j1vk?50;0xZc7<5?k1>o5rscd94?4|5?h18>526`81e>{tnm0;6?uQfe9>2d<3;2wvn;;50;793?g|Dl<1=vF<0:Oa2<5s-?<6=5a598004=z,<n1>=5fed83>>obn3:17dh?:188mc7=831dji4?::`;g?6=:3:1<vBj7;0x 01=82d>47=>6:'1a<5n2c957>5;n60>5<<uk<j6=4<:183Ic02;q/9:4?;o7;>66d3t.>h774i3c94?=n:k0;66a;3;29?xd1j3:1?7>50zNf3?4|,<=1<6`:8;13b>{#=m027d<n:188m7d=831d8>4?::a<4<72;0;6=uCe681!30291e954<159~ 0b=:o1b>44?::m77?6=3th=>7>54;294~Jb?38p(8950:l6<?5702w/9i4n;h0b>5<<a;h1<75f2b83>>i3;3:17pl85;296?6=8rFn;7<t$4594>h2039:>6s+5e81b>o513:17b:<:188yg??290?6=4?{Mg4>7}#=>0;7c;7:23;?x"2l3>=7d<n:188m7d=831b>n4?::m77?6=3tyni7>52z\fa>;?k3827p}jf;290~Xbn27=m7<n;<4a>7g<51;1>45rsg394?2|Vo;01;<52`9>27<5j27<97<6;|q`=?6=:r73o7:<;<4a>7d<uzn96=4={<4b>15<5021>n5rse794?4|5?h18>529981e>{tjo0;6?u280877>;1:38h7p}k4;296~;1:3>87077:3`8yvg72909w08n:3`8923=<:1vkj50;0xZcb<50218>5r}rgf>5<2sWon707j:dg89<`=ml16:>4je:?51?`63tynj7>55z\fb>;>m3om707i:dd8935=mo16:84je:pb5<72?qUj=529d8e4>;>n3l;708<:g28933=mo16:84i0:pb4<72=qUj<529d8e5>;>n3l:708<:g38yv`f2909wShn;<;f>cb<uzli6=4={_da?8?a2on0q~hl:181[`d34<86kj4}rd:>5<5sWl2708::gf8yxd1i3:147:58zNf2?7|@::0qAk8:3y'12<73g?36>66;|&6`?5?3`on6=44idd94?=nn90;66gi1;29?j`>2900cko50;9lbg<722emo7>5;c41>5<22>0iwAk9:0yK75=zDl=1>v*:7;28j0>=:>80q);k:258m`c=831bik4?::ke4?6=3`l:6=44ogf94?=e>k0;694?:1yOa2<5s-?<6=5a59810`=z,<n1m6g=a;29?l4e2900e?m50;9l06<722wi4n4?:383>5}Km>09w);8:19m1=<5=11v(8j52g9j6<<722e??7>5;|`56?6=<3:1<vBj7;0x 01=82d>47<;6:'1a<f3`8j6=44i3`94?=n:j0;66a;3;29?xd?93:1>7>50zNf3?4|,<=1<6`:8;062>{#=m09j6g=9;29?j242900qo77:181>5<7sEo<6?u+5683?k3?2;?j7p*:d;0e?l4>2900c9=50;9~f3g=83>1<7>tLd596~"2?3:0b86525c8y!3c2h1b>l4?::k1f?6=3`8h6=44o5194?=zj>?1<7:50;2xH`1=:r.>;7>4n4:9604<u-?o6984i3c94?=n:k0;66g=c;29?j242900q~kj:180[cb34<i6?o4=9a96<=z{ll1<7=t^dd8934=:h164<4=9:pb5<72:qUj=526381f>;>03827p}i1;290~Xa927=n7<l;<41>7e<5?k1>n5rsb;94?4|5?h18>527481e>{tl<0;6?u28b877>;1i38j7p}n0;296~;1:3>8709::3a8yvb32909w06>:51893g=:k1vil50;0x93d=:k16554;3:pfc<72;q6:l4;3:?41?4e3tymh7>52z\e`>;0=3>87psm6`83>0<02kqGi;4>{I13?xJb?38p(8950:l6<?40?2w/9i4<7:kfa?6=3`om6=44ig294?=nn80;66aid;29?g0e290?6=4?{Mg4>7}#=>0;7c;7:36f?x"2l3k0e?o50;9j6g<722c9o7>5;n60>5<<uk2h6=4=:183Ic02;q/9:4?;o7;>73?3t.>h7<i;h0:>5<<g=91<75rb7094?2=83:p@h952z&63?6<f<21>984}%7g>d=n:h0;66g=b;29?l4d2900c9=50;9~f=7=8381<7>tLd596~"2?3:0b8652448y!3c2;l0e?750;9l06<722wi554?:383>5}Km>09w);8:19m1=<5=h1v(8j52g9j6<<722e??7>5;|`5e?6=<3:1<vBj7;0x 01=82d>47<;a:'1a<f3`8j6=44i3`94?=n:j0;66a;3;29?xd0=3:187>50zNf3?4|,<=1<6`:8;066>{#=m0?:6g=a;29?l4e2900e?m50;9l06<722wxih4?:2y]a`=:>k09m637c;0:?xubn3:1?vPjf:?56?4f342:6?74}rd3>5<4sWl;708=:3`89<>=:01vk?50;6xZc7<5?h1>n526381g>;1i38h7p}l9;296~;1j3>8709::3c8yvb22909w06l:51893g=:h1vl>50;0x934=<:16;84=c:p`1<72;q64<4;3:?5e?4e3tyon7>52z?5f?4e343369=4}r`e>5<5s4<j69=4=6796g=z{on1<7<t^gf8923=<:1vqo8m:186>`<6:rFn:7?tH228yIc02;q/9:4?;o7;>71d3t.>h7=9;hgf>5<<all1<75ff183>>oa93:17bhk:188f3d=83>1<7>tLd596~"2?3:0b86521a8y!3c2h1b>l4?::k1f?6=3`8h6=44o5194?=zj1i1<7<50;2xH`1=:r.>;7>4n4:9640<u-?o6?h4i3;94?=h<:0;66sm6583>0<729qGi:4={%74>5=i=109=i5r$4f9f>o5i3:17d<m:188m7e=831b>i4?::m77?6=3th=:7>55;294~Jb?38p(8950:l6<?45=2w/9i4<c:k1e?6=3`8i6=44i3a94?=n:m0;66a;3;29?xd1:3:187>50zNf3?4|,<=1<6`:8;030>{#=m0j7d<n:188m7d=831b>n4?::m77?6=3th3=7>52;294~Jb?38p(8950:l6<?46<2w/9i4=f:k1=?6=3f>86=44}c44>5<5290;wAk8:3y'12<73g?36?<n;|&6`?4a3`826=44o5194?=zj?31<7<50;2xH`1=:r.>;7>4n4:967c<u-?o6?h4i3;94?=h<:0;66sm9983>7<729qGi:4={%74>5=i=109=55r$4f96c=n:00;66a;3;29?xd1i3:187>50zNf3?4|,<=1<6`:8;03<>{#=m0j7d<n:188m7d=831b>n4?::m77?6=3th=47>52;294~Jb?38p(8950:l6<?45k2w/9i4=f:k1=?6=3f>86=44}c56>5<3290;wAk8:3y'12<73g?36???;|&6`?213`8j6=44i3`94?=n:j0;66a;3;29?xd1=3:1?7>50zNf3?4|,<=1<6`:8;016>{#=m0?96g=a;29?l4e2900c9=50;9~f<`=8391<7>tLd596~"2?3:0b86520c8y!3c2=?0e?o50;9j6g<722e??7>5;|qfa?6==rTni639b;0b?8>d2;301;:52`9>23<5i2wxik4?:4y]ac=:>;09m6371;0:?8002;301;75289~wc6=83?pRk>4=7696f=:>?09o6392;0a?8??2;30q~h>:185[`634<i6?m4=7496a=:>;09o639a;0`?80?2;30q~m6:18180e2=901:;52`9~wa3=838p15m5429>2d<5i2wxnh4?:3y>21<3;27=97<n;|qa`?6=:r7=:7:<;<46>7d<uzk;6=4={<41>15<5>?1>n5rse694?4|51;18>526`81f>{tk;0;6?u26781f>;1?3>87p}l4;296~;1<38i7086:518yvbe2909w08m:3`89<>=<:1voh50;0x93g=<:16;84=b:pg6<72;q6:94=d:?5<?243tyh=7>52z?41?24343m6?l4}ra3>5<5s4<>69=4=8d96d=z{on1<7<t^gf89<`=<:1vqo9::186>0<?sEo=6<uG319~H`1=:r.>;7>4n4:96=7<u-?o6>;4idg94?=nmo0;66gi0;29?l`62900ckj50;9a27<72:0;6=uCe681!30291e954>d99~ 0b=m;1b>l4?::k1f?6=3f>86=44}c:2>5<5290;wAk8:3y'12<73g?36<k:;|&6`?4a3`826=44o5194?=zj?h1<7:50;2xH`1=:r.>;7>4n4:95ac<u-?o6>l4i3c94?=n:k0;66g=c;29?j242900qo8n:180>5<7sEo<6?u+5683?k3?28ni7p*:d;;8m7g=831b>o4?::m77?6=3th<97>53;294~Jb?38p(8950:l6<?7b:2w/9i4;5:k1e?6=3`8i6=44o5194?=z{lo1<7=t^dg8934=:h164<4=9:pac<72:qUik526381f>;1j38i7p}i0;297~Xa827=n7<l;<4b>7d<uzk;6=4={<41>15<5?k1>l5rse694?4|51;18>526c81e>{tk00;6?u26c877>;0=38i7p}k2;296~;1i3>8709::3c8yv`c2909wShk;<56>15<utyni7>55z\fa>;1:3on708n:dg893d=ml16;84je:pac<72<qUik52638fb>;1i3om708m:dd8923=mo1vk>50;7xZc6<5?81j=526`8e4>;1j3l;709::g28yv`6290>wSh>;<41>c7<5?k1j<526c8e5>;0=3l:7p}ic;296~Xak27=>7hk;|qef?6=:rTmn639a;dg?xuai3:1>vPia:?5f?`c3tym57>52z\e=>;0=3lo7psm6c83>=<321qGi;4>{I13?xJb?38p(8950:l6<?5>92w/9i4;2:kfa?6=3`om6=44ig294?=nn80;66ai9;29?j`f2900ckl50;9lbf<722h2i7>55;69<~Jb>3;pD>>4}Mg4>7}#=>0;7c;7:0`3?x"2l39n7dkj:188m``=831bj=4?::ke5?6=3flo6=44b7794?5=83:p@h952z&63?6<f<21=494}%7g>13<a;k1<75f2c83>>i3;3:17pl6f;296?6=8rFn;7<t$4594>h203;2?6s+5e81b>o513:17b:<:188yg0429096=4?{Mg4>7}#=>0;7c;7:0;6?x"2l38m7d<6:188k15=831vn4k50;694?6|Dl=1>v*:7;28j0>=91l0q);k:`9j6d<722c9n7>5;h0`>5<<g=91<75rsdg94?4|Vlo01;;52c9~w``=838pRhh4=8d96<=z{o:1<7<t^g28935=:01vk?50;0xZc7<50o1>l5rsgf94?4|Von01;;5429~wdb=838p14h5429>=`<5k2wxn>4?:3y>26<3;272i7<m;|qag?6=:r7=97<n;<;f>15<uth2j7>55;59f~Jb>3;pD>>4}Mg4>7}#=>0;7c;7:0`6?x"2l39m7dkj:188m``=831bj=4?::ke5?6=3flo6=44b7c94?5=83:p@h952z&63?6<f<21=9?4}%7g><=n:h0;66g=b;29?j242900qo77:181>5<7sEo<6?u+5683?k3?28?;7p*:d;0e?l4>2900c9=50;9~f34=8391<7>tLd596~"2?3:0b86512g8y!3c201b>l4?::k1f?6=3f>86=44}c:`>5<5290;wAk8:3y'12<73g?36<:j;|&6`?4a3`826=44o5194?=zj1;1<7<50;2xH`1=:r.>;7>4n4:951e<u-?o6?h4i3;94?=h<:0;66sm6c83>1<729qGi:4={%74>5=i=10:895r$4f9e>o5i3:17d<m:188m7e=831d8>4?::a30<72=0;6=uCe681!30291e954>499~ 0b=<?1b>l4?::k1f?6=3`8h6=44o5194?=z{lo1<7=t^dg893g=:k16554=9:pac<72:qUik526381e>;?k3827p}i0;296~Xa8273=7<6;|qe5?6=:rTm=639b;0`?xuf83:1>v39a;60?8122;h0q~j::1818??2=901;<52c9~wg`=838p1;<5429>30<5k2wxh94?:2y>2d<5i273o7:<;<4a>7d<uzn96=4={<:2>15<5?h1>l5rsb;94?4|5?h18>527481e>{tnm0;6?uQfe9>30<3;2wvn;=50;793?d|Dl<1=vF<0:Oa2<5s-?<6=5a5982fd=z,<n18=5fed83>>obn3:17dh?:188mc7=831dji4?::`41?6=<3:1<vBj7;0x 01=82d>47?82:'1a<3>2c9m7>5;h0a>5<<a;i1<75`4283>>{e110;6?4?:1yOa2<5s-?<6=5a59823d=z,<n1>k5f2883>>i3;3:17pl7c;296?6=8rFn;7<t$4594>h203;<46s+5e81b>o513:17b:<:188yg0f290?6=4?{Mg4>7}#=>0;7c;7:04a?x"2l3k0e?o50;9j6g<722c9o7>5;n60>5<<uk2:6=4=:183Ic02;q/9:4?;o7;>4113t.>h7<i;h0:>5<<g=91<75rb7094?5=83:p@h952z&63?6<f<21=;64}%7g><=n:h0;66g=b;29?j242900qo8m:180>5<7sEo<6?u+5683?k3?28<m7p*:d;66?l4f2900e?l50;9l06<722wxih4?:2y]a`=:?<09o6368;0:?xubn3:1?vPjf:?41?4e342h6?74}rd3>5<4sWl;708n:3c89=7=:01vk?50;0xZc7<5?81>o5rse`94?4|5>?18>526381e>{tjj0;6?u299877>;1i38h7p}m8;296~;?k3>8708n:3`8yvg62909w08n:51893d=:h1vl;50;0x923=:h164<4;3:p`a<72;q6:?4;3:?5f?4e3tymh7>52z\e`>;1j3>87psm6483>0<42>qGi;4>{I13?xJb?38p(8950:l6<?7en2w/9i4;1:kfa?6=3`om6=44ig294?=nn80;66aid;29?g>6290?6=4?{Mg4>7}#=>0;7c;7:03e?x"2l3>=7d<n:188m7d=831b>n4?::m77?6=3th2j7>53;294~Jb?38p(8950:l6<?75;2w/9i4<a:k1e?6=3`8i6=44o5194?=zj??1<7<50;2xH`1=:r.>;7>4n4:9570<u-?o6?h4i3;94?=h<:0;66s|ed83>7}Yml164<4=c:pac<72;qUik528081f>{tn90;6?uQf19><4<5i2wxj<4?:3y]b4=:1o09n6s|de83>7}:080??6395;0:?xual3:1>vPid:?:b?243tyoo7>52z?:b?4f34<>69=4}|qfa?6==rTni636e;gf?8?a2lo01;=5ed9>20<a82wxik4?:4y]ac=:1l0nj636f;ge?8042ll01;;5eg9~wc6=83?pRk>4=8g9b5=:1o0m<6393;d3?8022lo0q~h>:186[`6343n6k?4=8d9b4=:>:0m=6395;d2?xua13:1>vPi9:?:a?`c3tymm7>52z\ee>;>n3lo7p}ib;296~Xaj27=?7hk;|qeg?6=:rTmo6395;dg?x{e?<0;654<:`yOa3<5s-8365>4H228yIc02;q/9:4?;o7;>6?f3t.>h7==;h35>5<<a8=1<75f1983>>o613:17d<::188m05=831b994?::m77?6=3ko>6=4>:183!3c2;=0Dh:4o3494?=zj:>1<7950;2xH`1=0r.>=7h7;%6g>4d<,=l1945+4d866>h2>3>0b;>51:&63?6<f<21>n5r$4f971=]n3;p=7sf1b83>>o6l3:17d?j:188m4`=831b9o4?:%6`>0g<f=h1<65f5b83>!2d2<k0b9l51:9l17<722wi?>4?:583>5}Km>03w);>:g:8 1b=9k1/8k4:9:&7a?353g?=6?5a6182?!30291e954=b:'1a<4;2c:o7>5;h3g>5<<a<h1<75`5383>>{t9?0;6?uQ179>71<6k2wx=:4?:3y]52=:;=0:h6s|1983>7}Y9116?94>e:p5<<72;qU=4523582b>{t:<0;6?uQ249>76<2j2wx9>4?:3y]16=:;=0>o6s|5583>7}Y==16?94:b:p10<72;q6?94:2:?07?7c3ty??7>52z\77>;4;3?97p}<9;296~;b=38=70=<:0a8yxd?93:147=5azNf2?4|,;214o5G319~H`1=:r.>;7>4n4:97d5<u-?o6><4i0494?=n9>0;66g>8;29?l7>2900e?;50;9j16<722c>87>5;n60>5<<jl?1<7?50;2x 0b=:>1Ci95`2783>>{e;=0;6:4?:1yOa2<?s-?:6k64$5f95g=#<o0>56*;e;71?k312=1e:=4>;%74>5=i=109o6s+5e800>\a28q:6pg>c;29?l7c2900e<k50;9j5c<722c>n7>5$5a91d=i<k0;76g:c;29 1e==h1e8o4>;:m66?6=3th8?7>54;294~Jb?32p(8?5f99'0a<6j2.?j7;6;%6f>04<f<<1>6`90;38 01=82d>47<m;|&6`?543`;h6=44i0f94?=n=k0;66a:2;29?xu6>3:1>vP>6:?00?7d3ty:;7>52z\23>;4<3;o7p}>8;296~X6027887?j;|q2=?6=:rT:563<4;3e?xu5=3:1>vP=5:?07?3e3ty>?7>52z\67>;4<3?h7p}:4;296~X2<27887;m;|q61?6=:r7887;=;<10>4b<uz>86=4={_60?8542<80q~=6:1818c22;<01>=51b9~yg>d29036>4n{Mg5>7}#:102;6F<0:Oa2<5s-?<6=5a5980ef=z,<n1??5f1783>>o6?3:17d?7:188m4?=831b>84?::k67?6=3`??6=44o5194?=em<0;6<4?:1y'1a<5?2Bn86a=6;29?xd4<3:1;7>50zNf3?>|,<;1j55+4e82f>"3n3?27):j:408j00=<2d=<7?4$4594>h2038h7p*:d;17?_`=9r;1qd?l:188m4b=831b=h4?::k2b?6=3`?i6=4+4b86e>h3j3:07d;l:18'0f<2i2d?n7?4;n71>5<<uk986=4;:183Ic021q/9<4i8:&7`?7e3->m6874$5g917=i=?097c8?:09'12<73g?36?l4}%7g>65<a8i1<75f1e83>>o2j3:17b;=:188yv712909wS?9;<17>4e<uz;<6=4={_34?85328n0q~?7:181[7?349?6<k4}r3:>5<5sW;270=;:0d8yv422909wS<:;<10>0d<uz?86=4={_70?8532<i0q~;;:181[33349?68l4}r76>5<5s49?68<4=2195a=z{=91<7<t^518965==;1v>750;0x9`3=:?16?>4>c:~f<>=8321?7otLd496~"5033o7E=?;|Nf3?4|,<=1<6`:8;1a1>{#=m08>6g>6;29?l702900e<650;9j5<<722c997>5;h70>5<<a<>1<75`4283>>db=3:1=7>50z&6`?403Ao?7b<9:188yg53290<6=4?{Mg4>=}#=80m46*;d;3a?!2a2<30(9k5539m13<33g<;6<5+5683?k3?2;i0q);k:268^c<6s80ve<m50;9j5a<722c:i7>5;h3e>5<<a<h1<7*;c;7b?k2e2910e8m50;&7g?3f3g>i6<54o4094?=zj:91<7:50;2xH`1=0r.>=7h7;%6g>4d<,=l1945+4d866>h2>380b;>51:&63?6<f<21>o5r$4f976=n9j0;66g>d;29?l3e2900c8<50;9~w40=838pR<84=2695f=z{8=1<7<t^058962=9m1v<650;0xZ4><5:>1=h5rs0;94?4|V8301>:51g9~w73=838pR?;4=2191g=z{<91<7<t^418962==j1v8:50;0xZ02<5:>19o5rs4794?4|5:>19?523282`>{t<:0;6?uQ429>76<2:2wx?44?:3y>a0<5>278?7?l;|a=c<728:1?=4<4zNf2?7|@::0qAk8:3y'12<73g?36>lj;|&6`?`b3`91<75fd;29?l762900e<;50;9l1?6=3f<1<75`7;29?j>=831dii4?::me6?6=3fl86=44og694?=hn<0;66ai6;29?j`02900ckh50;9a34<72:0;6=uCe681!30291e9546d:'1a<>3`8j6=44i3`94?=h<:0;66sm7383>7<729qGi:4={%74>5=i=10j<6s+5e81b>o513:17b:<:188yg1?29086=4?{Mg4>7}#=>0;7c;7:`f8y!3c2l80e?o50;9j6g<722e??7>5;|`4g?6=;3:1<vBj7;0x 01=82d>47ln;|&6`?c43`8j6=44i3`94?=h<:0;66sm8683>1<729qGi:4={%74>5=i=10om6s+5e8b?l4f2900e?l50;9j6f<722e??7>5;|`:5?6=;3:1<vBj7;0x 01=82d>47h7;|&6`??<a;k1<75f2c83>>i3;3:17pl9c;290?6=8rFn;7<t$4594>h203227p*:d;c8m7g=831b>o4?::k1g?6=3f>86=44}c50>5<5290;wAk8:3y'12<73g?36l<4}%7g>7`<a;31<75`4283>>{e0:0;694?:1yOa2<5s-?<6=5a598`e>{#=m0j7d<n:188m7d=831b>n4?::m77?6=3th3:7>54;294~Jb?38p(8950:l6<?b13t.>h7o4i3c94?=n:k0;66g=c;29?j242900qo67:187>5<7sEo<6?u+5683?k3?2mo0q);k:`9j6d<722c9n7>5;h0`>5<<g=91<75rb9g94?5=83:p@h952z&63?6<f<21ik5r$4f9=>o5i3:17d<m:188k15=831vn;j50;694?6|Dl=1>v*:7;28j0>=0m1v(8j5a:k1e?6=3`8i6=44i3a94?=h<:0;66sm6g83>6<729qGi:4={%74>5=i=10286s+5e8:?l4f2900e?l50;9l06<722wi;94?:383>5}Km>09w);8:19m1=<f<2w/9i4=f:k1=?6=3f>86=44}c5a>5<3290;wAk8:3y'12<73g?36o84}%7g>d=n:h0;66g=b;29?l4d2900c9=50;9~f2c=8391<7>tLd596~"2?3:0b865c09~ 0b=12c9m7>5;h0a>5<<g=91<75rb9094?5=83:p@h952z&63?6<f<21o:5r$4f9=>o5i3:17d<m:188k15=831vn5h50;194?6|Dl=1>v*:7;28j0>=n;1v(8j59:k1e?6=3`8i6=44o5194?=zj0:1<7=50;2xH`1=:r.>;7>4n4:9b0=z,<n156g=a;29?l4e2900c9=50;9~f20=8381<7>tLd596~"2?3:0b865a79~ 0b=:o1b>44?::m77?6=3th<h7>54;294~Jb?38p(8950:l6<?dc3t.>h7:9;h0b>5<<a;h1<75f2b83>>i3;3:17pl80;292?6=8rFn;7<t$4594>h2033<7p*:d;6;?l4f2900e?l50;9j6f<722c9h7>5;h0f>5<<g=91<75rb8`94?4=83:p@h952z&63?6<f<21==74}%7g>7`<a;31<75`4283>>{e?>0;684?:1yOa2<5s-?<6=5a598b<>{#=m0?;6g=a;29?l4e2900e?m50;9j6a<722e??7>5;|`;e?6==3:1<vBj7;0x 01=82d>47k:;|&6`?203`8j6=44i3`94?=n:j0;66g=d;29?j242900qo6k:186>5<7sEo<6?u+5683?k3?2lk0q);k:558m7g=831b>o4?::k1g?6=3`8o6=44o5194?=zj?o1<7=50;2xH`1=:r.>;7>4n4:9=4=z,<n156g=a;29?l4e2900c9=50;9~f2g=8391<7>tLd596~"2?3:0b865b29~ 0b=12c9m7>5;h0a>5<<g=91<75rb6d94?5=83:p@h952z&63?6<f<21o95r$4f9=>o5i3:17d<m:188k15=831vn5:50;794?6|Dl=1>v*:7;28j0>=kl1v(8j5469j6d<722c9n7>5;h0`>5<<a;n1<75`4283>>{e?00;6>4?:1yOa2<5s-?<6=5a598a4>{#=m027d<n:188m7d=831d8>4?::a<<<72:0;6=uCe681!30291e954j2:'1a<>3`8j6=44i3`94?=h<:0;66sm8483>6<729qGi:4={%74>5=i=10o?6s+5e871>o5i3:17d<m:188k15=831vn4:50;094?6|Dl=1>v*:7;28j0>=no1v(8j52g9j6<<722e??7>5;|`:6?6=:3:1<vBj7;0x 01=82d>47hm;|&6`?4a3`826=44o5194?=zj0i1<7<50;2xH`1=:r.>;7>4n4:955d<u-?o6?h4i3;94?=h<:0;66sm9283>7<729qGi:4={%74>5=i=10mh6s+5e81b>o513:17b:<:188yg?>29096=4?{Mg4>7}#=>0;7c;7:026?x"2l38m7d<6:188k15=831vn4o50;094?6|Dl=1>v*:7;28j0>=99=0q);k:3d8m7?=831d8>4?::a=3<72;0;6=uCe681!30291e954>029~ 0b=:o1b>44?::m77?6=3th297>52;294~Jb?38p(8950:l6<?7792w/9i4=f:k1=?6=3f>86=44}c:2>5<5290;wAk8:3y'12<73g?36:h4}%7g>`=n:00;66a;3;29?xd?k3:1>7>50zNf3?4|,<=1<6`:8;:2?x"2l3o0e?750;9l06<722wi554?:383>5}Km>09w);8:19m1=<?;2w/9i4j;h0:>5<<g=91<75rb8g94?4=83:p@h952z&63?6<f<21485r$4f9a>o513:17b:<:188yg03290:6=4?{Mg4>7}#=>0;7c;7:958y!3c2;=0c?850;9~f33=83;1<7>tLd596~"2?3:0b865899~ 0b==l1d844?::p7?6=?rT8709>:3`8924=:016;54=b:?4g?4e342<6?m4=8396g=z{m0;64uQd:?4<?4f34=h6?o4=7a96d=:?:0956373;0`?8>12;h015652c9><`<5j2wx=<4?:`y]54=:0>09m639d;0`?80a2;k01::5289>3g<5k27<i7<m;<:1>7g<51l1>o529181f>{t9<0;6:uQ149>2f<5k273?7<m;<4g>7d<5>h1>o527781=>;0l38j7p}ka;296~;093>8709?:3c8yve=83<p1:<5429><3<5k27347<l;<4g>7g<5>h1>l529c81=>{tll0;6?u279877>;0?38o7p}n2;296~;0k3>8709k:3a8yvg>2909w068:5189=g=:j1vo?50;0x9<7=<:164i4=a:p`3<72;q6:n4;3:?44?4b3ty:<7>58z?45?4f342<6?l4=61906=:0;09n6360;0b?80b2;k01:o52`9>3c<5j2wxm94?:3y><6<3;27387<n;|qb<?6=:r73:7:<;<:b>7b<uzki6=4={<:;>15<51k1>o5rs`g94?4|51o18>528e81`>{tl>0;6?u26e877>;0838o7p}k9;296~;1n3>8709?:3`8yv732902w08l:3`89=5=:h164;4=a:?;a?4f34=?69=4=6f96g=:?h09n6389;0a?8>>2;h0q~k>:18181e2=901:952`9~wd0=839p1:k5429><1<5l27397<m;|qb7?6=:r73>7:<;<:7>7d<uzkm6=4={<:e>15<51n1>n5rsc294?4|50:18>528e81f>{t9h0;6lu29081e>;?038j708i:3`892c=:h164k4=a:?42?2434<n6?l4=6d96d=:?009m6379;0b?xue=3:1>v38d;60?8?32;30q~l=:1818172=9014<5289~wgd=838p14l5429>=f<512wxn94?:3y>32<3;272?7<6;|qa=?6=:r73m7:<;<;:>7?<uzhj6=4={<:g>15<50k1>45rse:94?4|5>:1>n526d877>{tm90;6?u27681f>;0i3>87p}n7;297~;0n3>8706;:3a89=3=:h1vo850;0x9=2=<:165;4=9:p`c<72;q6;:4=c:?4=?243tyjo7>52z?;e?4f342269=4}r`4>5<5s42>69=4=8796<=z{o91<7<t^g189<2=<:1vhj50;0xZ`b<50818>5rsgd94?4|Vol014m5429~wc4=838pRk<4=81906=z{o<1<7<t^g489<?=<:1vk950;0xZc1<50k18>5rsg694?4|Vo>01485429~wc3=838pRk;4=87906=z{<0;6?uQ5:?;5?243ty=6=4={_489=e=<:1v:4?:3y]3>;>03>87p}7:181[><50o18>5rsb694?4|50o1>45265812>{tim0;69u28081=>;?k3827077:3;8933=<01vqo7j:182>5<7sEo<6?u+5683?k3?2:ho7p*:d;7f?j2>2900q~=50;7xZ6=:>;0m=639a;d2?80e2o;01:;5179~wa<72<qUh6392;d3?80f2o:01;l5f19><4<6>2wx=<4?:4y]54=:>;0nj639a;ge?80e2ll015m5179~w43=83?pR<;4=709a`=:>h0ni639b;gf?8??28<0q~;<:186[3434=>68=4=93916=:0j0>?6368;70?xu2<3:19vP:4:?41?33342:68:4=9a911=:110>86s|cd83>7}:>;0m56368;3;?xudl3:1>v392;db?8>d2820q~ml:1818052oh015?5199~wfd=838p1;<5fb9>30<602wxok4?:3y>2d<a127247?6;|qg4?6=:r7=m7hn;<:`>4?<uzn:6=4={<4b>cd<51;1=45rse194?4|5?k1jn527482=>{tk>0;6?u26c8e=>;?93;<7p}l8;296~;1j3lj706l:058yvef2909w08m:g`89<>=9>1vn850;0x93d=nj16;84>7:pg7<72;q6;84;3:?:b?5<uzi86=4={<:2>15<50l1h6s|c583>7}:0j0??636f;32?xud=3:1>v368;60?8?a28?0q~;50;0xZ0=:1o0>7p}9:181[0<50l1:6s|7;296~X0343m6:5rs983>7}Y0272j764}rgg>5<5sWoo707i:df8yv`52909wSh=;<;e>c4<uzl86=4={_d0?8?a2o90q~h;:181[`3343m6k:4}rd6>5<5sWl>707i:g78yv`12909wSh9;<;e>c0<uzl<6=4={_d4?8?a2o=0q~hi:181[`a343m6kh4}rc6>5<2s4=>6?;4=93960=:0j0996368;06?8?b2=30qpsr}AB@1g42oh3m;:63|BCF~6zHIZpqMN \ No newline at end of file
+$02b6c<'&ko#h`h/tqfvv)j'n%fklljd.oz$aid}doex~!jc232+`e498'gmo? amw-rc773;0BB][[:Rgo85<66l1=av>6bqd772*;81J?6OCU29BJ55<IG;87L@=3:CM71=FFM8?7L@K359BJA223HUIM_?4B29AQC><J\YTECH@5:@\FDT63J30OBCBIUVF@2=DZLK_II;4C^@BV4=C:2N;>6J>2:F16>B4=2NTNL\;;Ecwe0=Ci}k;96Jnt`36?Agsi;?0Hlzn3008@lpnllU;S@[\ES]BHP753Mc}eikP1^OVW@TXIE_:>6Jfvhff[7YJ]ZOYSLBZ139GmsocmV9TAX]JR^COQ46<L`|bhhQBURGQ[DJR92O:7J=4GOF5?MSX[L^:7D<4I108M44<A;80E><4I518MKPb3BON\RFFESCQGM_03EELENOC109N0Z7BWARB@D@W_@NV3>Kj}qUD=<=4Mlw{[V7XL:UFyuQ?_n326>Khl|fdS=QBURGQ[DJR9;1Fci{co^3\IPUBZVKGY<<4MnfvhjY4WD_XI_QNLT33?Hic}eeTAX]JR^COQ7=I9880Bhintd]3[HSTM[UJ@X?=;OgdeqcX9VG^_H\PAMW26>Hboh~nS?QBURGQ[DJR9;1Eijo{e^1\IPUBZVKGY<>4Ndebp`YJ]ZOYSLBZ1:M;?J(0>qnT@>5@R318KW543FX??6A]509S0>VFZ]k0\D@PBTQJ@]d<X@DTNX]AALG:?UIU\VNJXLl4PNPW[WCT]E^i7]A]T^QFH@ES;2X_@55]TM]W]UC13[oxyaz=;R21?V7c3Z;TH>QBuy]3[j2<[@PNj6]@USAF[COKMGKFIi5\OTP@AZJNFLDI?6]jl99Pai:7284=7^kc<1<5?Vck484?7YW_E29UGF?<^@O\SYW_E49TMARO9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON>2RGTDQ>7:ZO\LY68>1S@UGP1058\I^NW88<7UBWI^303>^KP@U:8:5WLYK\501<PERBS<88;YN[MZ70?2RGTDQ>869[H]OX90<0TAVF_358\I^NW;:<7UBWI^023>^KP@U9>;5WLYK\72=_DQCT?=94XMZJ[6703QFSER==7:ZO\LY4;>1S@UGP3558\I^NW:?<7UBWI^143>^KP@U84:5WLYK\7<0<PERBS994XMZJ[1603QFSER:>7:ZO\LY3:>1S@UGP4258\I^NW=><7UBWI^663>^KP@U?::5WLYK\021<PERBS968;YN[MZ2>02RGTDQ;_148\I^NW<=0TAVF_424?]J_AV?:;6VCXH]662=_DQCT9>94XMZJ[0203QFSER;:7:ZO\LY2>>1S@UGP5658\I^NW<237UBWI^7\53=_DQCT::5WLYK\251<PERBS;?8;YN[MZ05?2RGTDQ9369[H]OX>==0TAVF_774?]J_AV<=;6VCXH]532=_DQCT:594XMZJ[3??3QFSER8P279[H]OX?>1S@UGP7158\I^NW>;<7UBWI^513>^KP@U<?55WLYK\3Z513QFSER69;YN[MZ?13QFSBR?8;YN[JZ7702RGTCQ>04:8\I^IW8;846VCXO]2511<PERES<<7;YN[JZ75=11S@U@P134;?]J_FV;9455WLYL\57?03QFSBR?<8:ZO\KY6;920TAVA_0123>^KPGU:855WLYL\513?3QFSBR?;699[H]HX9==37UBWN^37<==_DQDT=978;YN[JZ7202RGTCQ>50:8\I^IW8?>46VCXO]213><PERES<;77:ZO\KY6>11S@U@P172;?]J_FV;=>55WLYL\53303QFSBR?86:ZO\KY5?2RGTCQ=269[H]HX::=0TAVA_364?]J_FV8>;6VCXO]132=_DQDT>584XMZM[61<PERES>=8;YN[JZ53?2RGTCQ<569[H]HX;?=0TAVA_254?]J_FV93;6VCXO]0=3=_DQDT8:5WLYL\051<PERES9?8;YN[JZ25?2RGTCQ;369[H]HX<==0TAVA_574?]J_FV><;6VCXO]7=3=_DQDT9:5WLYL\151<PERES8?8;YN[JZ33?2RGTCQ:569[H]HX=?=0TAVA_455?]J_FV<<7UBWN^423>^KPGU=>:5WLYL\261<PERES;:8;YN[JZ02>2RGTCQ86:ZO\KY?>2RGTCQ67:ZO\KY>9>1S@U@P9358\I^IW09<7UBWN^;71>^IG[8?7UA]279[WQJNJ>1S_YQHNE3f?]USW@G[@HCJNUCWMJHXKFDXX_OFNUQ24>^T\VX_@R^]OQGQP\0<PmhTEi??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmm7>^t|01SyIBoego1>gXg|~97o>=;c31?g453k9>7oQ`uu78gZir|<1oSb{{5:g\kpr23oUdyy;4g^mvp6=j}q>0cxz?4:mvp42<g|~986azt248v`urd};=7~ky_rgd[aotxVG^_H\PAMW7?vo`f8;0tn8|ly35)6+d{n998pNOp5f0?EFn<0M6?4>{R30>c2=<90:?>>=988202e|fo81=6`i3;48 c7==m1v_<<5f5874?74;98257?;7b9g0?6=93;p_<=5f5874?74;98257?;7b9uB1e=83;1=7>tS019b1<383;8?=<69;373f=#=k08=6*;6;08f1<7288157<<{Mge>4}#<?0:<45rLg296~"2;3:0b8:53758y!3>2=1b?7>5;hf94?=n980;66g>5;29?l2a2900e8>50;9l1?6=3f<1<75`7;29?j>=831dj;4?::mef?6=3flh6=44ogf94?=hnl0;66aif;29?j7783:17b??8;29?g3b29036947{Mge>4}O;91v@k>52z&67?6<f<>1?4h4}%7:>72<ao=1<75ff983>>oa13:17dhn:188k4652900c<><:188k4632900c<>::188fd6=83?157jtLdd95~N482wGj=4={%70>5=i==089=5r$4;964=nn>0;66gi8;29?l`>2900eko50;9l550=831i9h4?:483>5}Kn909w);<:19m11<5j=1v(875b:k1e?6=3`8i6=44i3a94?=n:m0;66a<f;29?xdf93:1>7>50zNe4?4|,<91<6`:4;0`g>{#=009j6g=9;29?j5a2900qo8k:180>5<7sEl;6?u+5283?k332;ho7p*:9;;8m7g=831b>o4?::m0b?6=3thj<7>52;294~Ja838p(8=50:l60?4di2w/944=f:k1=?6=3f9m6=44}c54>5<4290;wAh?:3y'16<73g??6?m?;|&6=??<a;k1<75f2c83>>i4n3:17pl7e;296?6=8rFm<7<t$4194>h2<38h:6s+5881b>o513:17b=i:188yg?f29096=4?{Md3>7}#=:0;7c;;:3a;?x"2138m7d<6:188k6`=831vn5=50;194?6|Do:1>v*:3;28j02=:j90q);6:538m7g=831b>o4?::m0b?6=3th=57>54;294~Ja838p(8=50:l60?4e12w/944;2:k1e?6=3`8i6=44i3a94?=h;o0;66s|f683>6}Yn>169h4=d:?b5?4>3tym47>54z\e<>;2m38i708k:3`89d6=:01vk750;1xZc?<5>=1>o528d81=>{tnh0;6>uQf`9>1`<5i272m7<6;|qg3?6=:r7>i7=i;<:0>7g<uzhn6=4={<c2>6`<5?n1>l5rs`094?4|5?n1?k526881f>{tjh0;6?u2a180b>;1138j7p}le;296~;0?39m706<:3`8yvc22909w0;j:3a89=c=;o1vlm50;0x9<g=;o16:44=c:p550=838pR<>9;<:0>6`<uzhm6=4={<54>7g<5?31?k5r}cc2>5<22<02wAki:0yK75=zDo:1>v*:3;28j02=;<?0q);6:308mc1=831bj54?::ke=?6=3`lj6=44o025>5<<j?81<7<50;2xHc6=:r.>?7>4n4696`?<u-?26?h4i3;94?=h;o0;66sm6583>7<729qGj=4={%70>5=i==09io5r$4;96c=n:00;66a<f;29?xd1=3:1>7>50zNe4?4|,<91<6`:4;0f`>{#=009j6g=9;29?j5a2900qo;i:180>5<7sEl;6?u+5283?k332;o97p*:9;;8m7g=831b>o4?::m0b?6=3th==7>54;294~Ja838p(8=50:l60?4b=2w/944;2:k1e?6=3`8i6=44i3a94?=h;o0;66s|f683>7}Yn>16:?4=9:pb=<72;qUj5526581=>{tn00;6?uQf89>20<512wxjl4?:3y]bd=:=o09n6s|ab83>7}:>;08j6391;0`?xufn3:1>v394;1e?8062;h0q~l::1818022:l01;?52`9~w4612909wS??6:?6b?5a3tyn:7>52z?6b?4f34<:6>h4}|`6b?6==3?15vBjf;3xL66<uEl;6?u+5283?k332:?j7p*:9;00?l`02900ek650;9jb<<722cmm7>5;n332?6=3kk;6=4=:183I`72;q/9>4?;o77>66b3t.>57<i;h0:>5<<g:l1<75rb8c94?4=83:p@k>52z&67?6<f<>1?=m4}%7:>7`<a;31<75`3g83>>{e0l0;6?4?:1yOb5<5s-?86=5a55804d=z,<31>k5f2883>>i4n3:17pl99;297?6=8rFm<7<t$4194>h2<39;?6s+588:?l4f2900e?l50;9l7c<722wi;:4?:583>5}Kn909w);<:19m11<48?1v(875439j6d<722c9n7>5;h0`>5<<g:l1<75rsg594?4|Vo=01l>5289~wc>=838pRk64=8c96<=z{o31<7<t^g;89=c=:01vko50;0xZcg<5?31>o5rsc794?4|5h:1?k527681e>{tio0;6?u29`80b>;0?38i7p}nc;296~;?m39m7098:3a8yv77>3:1>vP>079>2<<4n2wxnk4?:3y>2<<5i27<;7=i;|a24<72<0<6luCeg82M573tFm<7<t$4194>h2<39>j6s+58814>oa?3:17dh7:188mc?=831bjl4?::m243<722h>i7>54;294~Ja838p(8=50:l60?55>2w/944n;h0b>5<<a;h1<75f2b83>>i4n3:17pl7e;296?6=8rFm<7<t$4194>h2<398>6s+5881b>o513:17b=i:188yg0>29086=4?{Md3>7}#=:0;7c;;:20b?x"21330e?o50;9j6g<722e8j7>5;|`5`?6=;3:1<vBi0;0x 05=82d>87==d:'1<<>3`8j6=44i3`94?=h;o0;66sm8283>7<729qGj=4={%70>5=i==08?=5r$4;96c=n:00;66a<f;29?xdf93:1>7>50zNe4?4|,<91<6`:4;10<>{#=009j6g=9;29?j5a2900qo7n:187>5<7sEl;6?u+5283?k332:9?7p*:9;61?l4f2900e?l50;9j6f<722e8j7>5;|qe3?6=;rTm;63:e;0b?8>b2;30q~h7:187[`?34<26?o4=7f96d=:0:0956s|f`83>6}Ynh169h4=b:?b5?4>3tyo;7>52z?6a?5a343j6?l4}ra5>5<5s42n6>h4=7f96g=z{jo1<7<t=7;97c=:1h09o6s|dd83>7}:>m08j636a;0b?xuen3:1>v3:e;0`?8>42:l0q~o8:18180>2;h01l?53g9~w4612909wS??6:?:e?5a3twxj:4?:4y]b2=:i90m;63n1;d4?83a2o=01;?5f69~wc>=83?pRk64=`29b==:i80m463:f;d;?8062o20q~h6:186[`>34k;6k74=`39b<=:=o0m56391;d:?xuai3:19vPia:?b4?`f34k:6ko4=4d9bd=:>80mm6s|11194?4|V8:870o?:025?xu68=0;6?uQ11689d7=99<0q~??5;296~X68<169k4>079~w4652909wS??2:?55?77>2wvn;750;:90?>|Dll1=vF<0:Ob5<5s-?86=5a5580e2=z,<31?55ff683>>oa03:17dh6:188mcg=831d==<50;9l555=831d==:50;9l553=831i9h4?:484>g}Kmo0:wE=?;|Ne4?4|,<91<6`:4;0;<>{#=008;6gi7;29?l`?2900ek750;9jbd<722e:<;4?::`5`?6=<3:1<vBi0;0x 05=82d>87<94:'1<<f3`8j6=44i3`94?=n:j0;66a<f;29?xd?m3:1>7>50zNe4?4|,<91<6`:4;05a>{#=009j6g=9;29?j5a2900qo;j:187>5<7sEl;6?u+5283?k332;?h7p*:9;c8m7g=831b>o4?::k1g?6=3f9m6=44}c:0>5<5290;wAh?:3y'16<73g??6?8l;|&6=?4a3`826=44o2d94?=zj0k1<7<50;2xHc6=:r.>?7>4n469626<u-?26?h4i3;94?=h;o0;66sm6883>1<729qGj=4={%70>5=i==09:=5r$4;9e>o5i3:17d<m:188m7e=831d?k4?::a32<72=0;6=uCf181!34291e994=699~ 0?=<;1b>l4?::k1f?6=3`8h6=44o2d94?=z{o=1<7=t^g5893b=:h164h4=9:pb=<72:qUj5525d81e>;?;3827p}i9;297~Xa127>i7<m;<;b>7?<uzlj6=4;{_db?80c2;i018k52b9>2<<5k2wxo;4?:3y>2a<4n27<;7<n;|qga?6=:r73i7=i;<4:>7g<uzk96=4={<7f>6`<5>=1>n5rse594?4|5191?k526881f>{tm=0;6?u26e81f>;>i39m7p}mf;296~;1139m7098:3`8yv77>3:1>vP>079>32<4n2wvn;750;793?d|Dll1=vF<0:Ob5<5s-?86=5a5581<a=z,<31?:5ff683>>oa03:17dh6:188mcg=831d==850;9a2a<72=0;6=uCf181!34291e994=659~ 0?=i2c9m7>5;h0a>5<<a;i1<75`3g83>>{e0l0;6?4?:1yOb5<5s-?86=5a55812`=z,<31>k5f2883>>i4n3:17pl:e;290?6=8rFm<7<t$4194>h2<38>o6s+588b?l4f2900e?l50;9j6f<722e8j7>5;|`;7?6=:3:1<vBi0;0x 05=82d>87<9c:'1<<5n2c957>5;n1e>5<<uk3j6=4=:183I`72;q/9>4?;o77>7173t.>57<i;h0:>5<<g:l1<75rb7;94?2=83:p@k>52z&67?6<f<>1>;>4}%7:>d=n:h0;66g=b;29?l4d2900c>h50;9~f21=83>1<7>tLg296~"2;3:0b8:527:8y!3>2=80e?o50;9j6g<722c9o7>5;n1e>5<<uzl<6=4<{_d4?80c2;k015k5289~wc>=839pRk64=4g96d=:0:0956s|f883>6}Yn0169h4=b:?:e?4>3tymm7>54z\ee>;1l38h70;j:3a893?=:j1vn850;0x93b=;o16;:4=a:p``<72;q64h4<f:?5=?4f3tyj>7>52z?6a?5a34=<6?m4}rf4>5<5s4286>h4=7;96g=z{l>1<7<t=7f96g=:1h08j6s|bg83>7}:>008j6387;0a?xu68?0;6?uQ1148921=;o1vqo8k:186>`<6:rFnj7?tH228yI`72;q/9>4?;o77>7?53t.>57=9;hd4>5<<ao21<75ff883>>oai3:17b??6;29?g0c290?6=4?{Md3>7}#=:0;7c;;:301?x"213k0e?o50;9j6g<722c9o7>5;n1e>5<<uk2n6=4=:183I`72;q/9>4?;o77>74?3t.>57<i;h0:>5<<g:l1<75rb7294?3=83:p@k>52z&67?6<f<>1>?m4}%7:>g=n:h0;66g=b;29?l4d2900e?j50;9l7c<722wi:o4?:383>5}Kn909w);<:19m11<5;l1v(8752g9j6<<722e8j7>5;|`6a?6=<3:1<vBi0;0x 05=82d>87<>a:'1<<f3`8j6=44i3`94?=n:j0;66a<f;29?xd?;3:1>7>50zNe4?4|,<91<6`:4;012>{#=009j6g=9;29?j5a2900qo8::181>5<7sEl;6?u+5283?k332;987p*:9;0e?l4>2900c>h50;9~f31=83?1<7>tLg296~"2;3:0b8:52278y!3>2k1b>l4?::k1f?6=3`8h6=44i3f94?=h;o0;66sm9`83>7<729qGj=4={%70>5=i==09>l5r$4;96c=n:00;66a<f;29?xd103:1>7>50zNe4?4|,<91<6`:4;00e>{#=009j6g=9;29?j5a2900qo86:187>5<7sEl;6?u+5283?k332;;n7p*:9;c8m7g=831b>o4?::k1g?6=3f9m6=44}c47>5<5290;wAh?:3y'16<73g??6?=>;|&6=?4a3`826=44o2d94?=zj?k1<7<50;2xHc6=:r.>?7>4n46966e<u-?26?h4i3;94?=h;o0;66sm6b83>3<729qGj=4={%70>5=i==098=5r$4;901=n:h0;66g=b;29?l4d2900e?j50;9j6`<722e8j7>5;|qe3?6==rTm;639d;0b?8>b2;301;>52`9>2g<512wxj54?:4y]b==:=l09m6373;0:?8022;301;952c9~wc?=83?pRk74=7296f=:=l09n636a;0:?80?2;30q~hn:185[`f34<o6?m4=4g96f=:>009o6394;0:?80f2;30q~m9:18180c2:l01;m52b9~wac=838p15k53g9>2<<5i2wxhi4?:3y>25<4n27=o7<n;|qge?6=:r7=n7=i;<44>7g<uzni6=4={<7f>6`<5?i1>h5rse594?4|5191?k526881f>{tk;0;6?u26181f>;1=39m7p}kc;296~;1?39m708l:3`8yvc32909w08k:3`89<g=;o1vi650;0x931=:j16:54<f:pfc<72;q6:44<f:?5g?4c3tyh=7>52z?54?4c34<?6>h4}rf:>5<5s4<<6?j4=7c97c=z{8:=6=4={_332>;1k39m7psm7683>0<f2mqGik4>{I13?xJa838p(8=50:l60?4>?2w/944<5:ke3?6=3`l36=44ig;94?=nnh0;66a>0783>>d2n3:1>7>50zNe4?4|,<91<6`:4;3fe>{#=009j6g=9;29?j5a2900qo8?:187>5<7sEl;6?u+5283?k3328oh7p*:9;c8m7g=831b>o4?::k1g?6=3f9m6=44}c47>5<4290;wAh?:3y'16<73g??6<h8;|&6=??<a;k1<75f2c83>>i4n3:17pln1;296?6=8rFm<7<t$4194>h2<3;n46s+5881b>o513:17b=i:188yg0529096=4?{Md3>7}#=:0;7c;;:0d1?x"2138m7d<6:188k6`=831vn;750;194?6|Do:1>v*:3;28j02=9l80q);6:89j6d<722c9n7>5;n1e>5<<uk<:6=4=:183I`72;q/9>4?;o77>4`73t.>57<i;h0:>5<<g:l1<75rb7794?5=83:p@k>52z&67?6<f<>1=ko4}%7:><=n:h0;66g=b;29?j5a2900qo98:180>5<7sEl;6?u+5283?k3328o>7p*:9;62?l4f2900e?l50;9l7c<722wi:>4?:283>5}Kn909w);<:19m11<6n=1v(875409j6d<722c9n7>5;n1e>5<<uzl<6=4;{_d4?83a2;301;>52`9>21<5i2wxj54?:5y]b==:>=09n63n1;0:?8052;30q~h6:180[`>34<26?l4=7396<=z{kk1<7<t=4d97c=:><09m6s|c783>7}:>908j6387;0a?xuf?3:1>v394;1e?8042;h0q~l::1818g62:l01;;52c9~wf3=838p1;>52c9>27<4n2wxoh4?:3y>2<<4n27<;7<n;|q`0?6=:r7=<7<l;<42>6`<uzkm6=4={<46>6`<5?91>l5rs025>5<5sW;;:6387;1e?xuf:3:1>v399;0b?8042:l0qp}i7;291~Xa?27>i7h8;<4:>c1<5?n1j:52768e3>{tn10;68uQf99>1`<a027=57h7;<4g>c><5>=1j55rsg;94?3|Vo3018k5f89>2<<a127=h7h6;<54>c?<uzlj6=4:{_db?83b2ok01;75f`9>2a<ai27<;7hn;|q240<72;qU==;4=4g9550<uz;;87>52z\241=:>00:<;5rs020>5<5sW;;?639d;332>{t9981<7<t^021?81028:=7psm6e83>=<321qGik4>{I13?xJa838p(8=50:l60?5fn2w/944<e:ke3?6=3`l36=44ig;94?=nnh0;66a>0383>>i68:0;66a>0583>>i68<0;66ln0;291?2=0rFnj7?tH228yI`72;q/9>4?;o77>4d23t.>57=n;hd4>5<<ao21<75ff883>>oai3:17b??6;29?g0629086=4?{Md3>7}#=:0;7c;;:0;`?x"213>:7d<n:188m7d=831d?k4?::ae4<72;0;6=uCf181!34291e994>999~ 0?=:o1b>44?::m0b?6=3th>j7>52;294~Ja838p(8=50:l60?7>i2w/944=f:k1=?6=3f9m6=44}cc3>5<3290;wAh?:3y'16<73g??6<7;;|&6=?g<a;k1<75f2c83>>o5k3:17b=i:188yv`02909wSh8;<42>7d<uzl36=4={_d;?8g62;30q~h6:181[`>34?m6?74}rdb>5<5sWlj70o?:3c8yv77>3:1>vP>079>24<4n2wxmk4?:3y>e4<4n27j<7<l;|qa1?6=:r7>j7=i;<c3>7d<uzhn6=4={<42>7g<5h:1?k5r}cc2>5<22>0iwAki:0yK75=zDo:1>v*:3;28j02=9kk0q);6:2`8mc1=831bj54?::ke=?6=3`lj6=44o025>5<<j?31<7=50;2xHc6=:r.>?7>4n469517<u-?2645f2`83>>o5j3:17b=i:188yg?f29096=4?{Md3>7}#=:0;7c;;:073?x"2138m7d<6:188k6`=831vn8k50;194?6|Do:1>v*:3;28j02=9:o0q);6:89j6d<722c9n7>5;n1e>5<<uk2n6=4=:183I`72;q/9>4?;o77>42b3t.>57<i;h0:>5<<g:l1<75rb9194?4=83:p@k>52z&67?6<f<>1=9m4}%7:>7`<a;31<75`3g83>>{e>m0;694?:1yOb5<5s-?86=5a558201=z,<31m6g=a;29?l4e2900e?m50;9l7c<722wi;:4?:583>5}Kn909w);<:19m11<6<11v(875439j6d<722c9n7>5;h0`>5<<g:l1<75rsg594?5|Vo=01;752c9>=d<512wxj54?:2y]b==:=l09m637e;0:?xua13:1>vPi9:?;7?4>3tymm7>52z\ee>;1l38h7p}n2;296~;1139m7098:3`8yvbb2909w07n:2d890c=:k1voh50;0x90c=;o16;:4=c:p`2<72:q6:44=a:?;a?5a34<o6?l4}raf>5<5s4286>h4=7f96d=z{j<1<7<t=7f97c=:?>09m6s|11494?4|V8:=7098:2d8yxd2n3:19765czNfb?7|@::0qAh?:3y'16<73g??6<li;|&6=?5d3`l<6=44ig:94?=nn00;66gia;29?j77>3:17o8?:180>5<7sEl;6?u+5283?k3328=?7p*:9;;8m7g=831b>o4?::m0b?6=3th=:7>52;294~Ja838p(8=50:l60?70n2w/944=f:k1=?6=3f9m6=44}c7e>5<4290;wAh?:3y'16<73g??6<9>;|&6=??<a;k1<75f2c83>>i4n3:17pl95;296?6=8rFm<7<t$4194>h2<3;<h6s+5881b>o513:17b=i:188yg0429096=4?{Md3>7}#=:0;7c;;:054?x"2138m7d<6:188k6`=831vn;:50;694?6|Do:1>v*:3;28j02=9>30q);6:`9j6d<722c9n7>5;h0`>5<<g:l1<75rb`394?5=83:p@k>52z&67?6<f<>1=;k4}%7:><=n:h0;66g=b;29?j5a2900qoo?:186>5<7sEl;6?u+5283?k3328<27p*:9;60?l4f2900e?l50;9j6f<722c9h7>5;n1e>5<<uzl<6=4<{_d4?8072;k01;85289~wc>=839pRk64=4d96d=:><0956s|f883>6}Yn016:>4=9:?50?4d3tymm7>52z\ee>;f938i7p}lf;296~;1839m70o?:3a8yvb22909w089:2d8932=:h1vi>50;0x90`=;o16m=4=b:p`3<72;q6:84<f:?50?4e3tyo?7>54z?54?4e34?m6?l4=7197c=:i809m6s|cc83>7}:>=08j63n0;0b?xud13:1>v3n1;1e?8g72;n0q~??6;296~X68?16m=4<f:~f37=83?1?79tLdd95~N482wGj=4={%70>5=i==0:o95r$4;97a=nn>0;66gi8;29?l`>2900eko50;9l550=831i:>4?:583>5}Kn909w);<:19m11<69o1v(875439j6d<722c9n7>5;h0`>5<<g:l1<75rb7694?4=83:p@k>52z&67?6<f<>1=?=4}%7:>7`<a;31<75`3g83>>{e><0;6>4?:1yOb5<5s-?86=5a558260=z,<3156g=a;29?l4e2900c>h50;9~wc1=838pRk94=7196f=z{o21<7<t^g:8935=:k1vk750;0xZc?<5?91>l5rsgc94?4|Vok01;:5289~w`3=838p1;=53g9>20<5i2wxm>4?:3y>21<4n27=97<m;|q243<72;qU==84=7797c=zuzl<6=4:{_d4?8g72o=01l?5f69>1c<a?27==7h6;|qe<?6==rTm463n0;d;?8g62o2018h5f99>24<a02wxj44?:4y]b<=:i90m563n1;d:?83a2o301;?5f69~wcg=83?pRko4=`29bd=:i80mm63:f;db?8062ok0q~??2;296~X68;16m=4>079~w4642909wS??3:?b5?77>2wx==:50;0xZ46334?m6<>9;|q240<72;qU==;4=739550<uth<;7>58;19e~Jbn38p(?65839K75=zDo:1>v*:3;28j02=;k20q);6:208m40=831b=:4?::k2<?6=3`;26=44i3794?=n<o0;66g:0;29?j5a2900nhk50;394?6|,<31>:5Gee9l63<722wi?94?:683>5}Kn903w):k:022?!2>28h0(9l5549'0d<3m2d>>7:4n4a95>"2;3:0b8:52b9~ 0?=;=1Qj7?t1;j5f<722c:h7>5;h3f>5<<a8l1<75f5683>!2?2<<0b9950:9j1=<72->36884n5595>=h<l0;66sm3283>1<729qGj=47{%6g>4663->26<l4$5`910=#<h0?i6`:2;08j0e=92.>?7>4n4696g=z,<31?>5f1b83>>o6l3:17d;8:188k1c=831v<850;0xZ40<5:>1=n5rs0594?4|V8=01>:51e9~w4>=838pR<64=2695`=z{831<7<t^0;8962=9o1v?;50;0xZ73<5:919:5rs5d94?4|V=l01>:5599~w06=838pR8>4=26912=z{<;1<7<t=2690`=:;:0:h6s|3g83>7}Y;o16?>4;e:p7<<72;q6ih4=6:?07?7d3twi4>4?:980>d}Kmo09w)<7:9f8L66<uEl;6?u+5283?k332:i:7p*:9;11?l712900e<950;9j5=<722c:57>5;h06>5<<a=l1<75f5183>>i4n3:17okj:182>5<7s-?26?94Hdf8k70=831vn>:50;594?6|Do:14v*;d;335>"313;i7):m:478 1g=<l1e9?4;;o7`>4=#=:0;7c;;:3a8y!3>2:>0Vk4>{08~m4e=831b=i4?::k2a?6=3`;m6=44i4594?"303?=7c:8:198m0>=83.?47;9;o64>4=<g=o1<75rb2194?2=83:p@k>58z&7`?7792.?57?m;%6a>03<,=k18h5a5381?k3d281/9>4?;o77>7d<u-?26>=4i0a94?=n9m0;66g:7;29?j2b2900q~?9:181[71349?6<m4}r34>5<5sW;<70=;:0f8yv7?2909wS?7;<17>4c<uz;26=4={_3:?85328l0q~<::181[4234986894}r6e>5<5sW>m70=;:4:8yv372909wS;?;<17>01<uz?:6=4={<17>1c<5:91=i5rs2d94?4|V:l01>=54d9~w6?=838p1hk5279>76<6k2wvn5k50;:97?g|Dll1>v*=8;;:?M573tFm<7<t$4194>h2<39hm6s+58806>o6>3:17d?8:188m4>=831b=44?::k11?6=3`>m6=44i4294?=h;o0;66lje;295?6=8r.>57<8;Igg?j412900qo=;:184>5<7sEl;65u+4e8244=#<00:n6*;b;76?!2f2=o0b8<54:l6g?7<,<91<6`:4;0`?x"2139?7Wh51z39yl7d2900e<j50;9j5`<722c:j7>5;h74>5<#<10>:6`;7;28?l3?290/854:6:l73?7<3f>n6=44}c10>5<3290;wAh?:9y'0a<6881/844>b:&7f?323->j69k4n4096>h2k3;0(8=50:l60?4e3t.>57=<;h3`>5<<a8n1<75f5683>>i3m3:17p}>6;296~X6>27887?l;|q23?6=:rT:;63<4;3g?xu603:1>vP>8:?00?7b3ty:57>52z\2=>;4<3;m7p}=5;296~X5=278?7;8;|q7b?6=:rT?j63<4;7;?xu283:1>vP:0:?00?303ty>=7>52z?00?2b34986<j4}r1e>5<5sW9m70=<:5g8yv5>2909w0kj:348965=9j1vqo7n:18;>6<fsEom6?u+298:b>N482wGj=4={%70>5=i==08h>5r$4;977=n9?0;66g>7;29?l7?2900e<750;9j60<722c?j7>5;h73>5<<g:l1<75med83>4<729q/944=7:Jf`>i5>3:17pl<4;293?6=8rFm<76t$5f9557<,=31=o5+4c861>"3i3>n7c;=:59m1f<63-?86=5a5581g>{#=00886Ti:0y2>xo6k3:17d?k:188m4c=831b=k4?::k63?6=,=219;5a4683?>o203:1(965579m02<632e?i7>5;|`07?6=<3:1<vBi0;:x 1b=99;0(9751c9'0g<2=2.?m7:j;o71>7=i=j0:7);<:19m11<5j2w/944<3:k2g?6=3`;o6=44i4594?=h<l0;66s|1783>7}Y9?16?94>c:p52<72;qU=:523582`>{t910;6?uQ199>71<6m2wx=44?:3y]5<=:;=0:j6s|2483>7}Y:<16?>4:7:p0c<72;qU8k523586<>{t=90;6?uQ519>71<2?2wx9<4?:3y>71<3m278?7?k;|q0b?6=:rT8j63<3;6f?xu413:1>v3je;05?85428i0qpln1;2955<4839?wAki:0yK75=zDo:1>v*:3;28j02=;mi0q);6:024?l5=831bh7>5;h32>5<<a8?1<75`5;29?j0=831d;7>5;n:94?=hn?0;66aib;29?j`d2900ckj50;9lb`<722emj7>5;n334?6=3f;;47>5;c50>5<4290;wAh?:3y'16<73g??64j4}%7:><=n:h0;66g=b;29?j5a2900qo9;:181>5<7sEl;6?u+5283?k332h:0q);6:3d8m7?=831d?k4?::a3d<72:0;6=uCf181!34291e994nd:'1<<bj2c9m7>5;h0a>5<<g:l1<75rb6g94?5=83:p@k>52z&67?6<f<>1nl5r$4;9af=n:h0;66g=b;29?j5a2900qo66:187>5<7sEl;6?u+5283?k332mk0q);6:`9j6d<722c9n7>5;h0`>5<<g:l1<75rb8194?5=83:p@k>52z&67?6<f<>1j55r$4;9=>o5i3:17d<m:188k6`=831vn;k50;694?6|Do:1>v*:3;28j02=001v(875a:k1e?6=3`8i6=44i3a94?=h;o0;66sm7483>7<729qGj=4={%70>5=i==0j>6s+5881b>o513:17b=i:188yg>2290?6=4?{Md3>7}#=:0;7c;;:bc8y!3>2h1b>l4?::k1f?6=3`8h6=44o2d94?=zj121<7:50;2xHc6=:r.>?7>4n469`3=z,<31m6g=a;29?l4e2900e?m50;9l7c<722wi4l4?:583>5}Kn909w);<:19m11<cm2w/944n;h0b>5<<a;h1<75f2b83>>i4n3:17pl60;297?6=8rFm<7<t$4194>h2<3om7p*:9;;8m7g=831b>o4?::m0b?6=3th=j7>54;294~Ja838p(8=50:l60?>c3t.>57o4i3c94?=n:k0;66g=c;29?j5a2900qo9>:180>5<7sEl;6?u+5283?k3320>0q);6:89j6d<722c9n7>5;n1e>5<<uk==6=4=:183I`72;q/9>4?;o77>d2<u-?26?h4i3;94?=h;o0;66sm7e83>1<729qGj=4={%70>5=i==0i:6s+588b?l4f2900e?l50;9j6f<722e8j7>5;|`;4?6=;3:1<vBi0;0x 05=82d>87m>;|&6=??<a;k1<75f2c83>>i4n3:17pl74;297?6=8rFm<7<t$4194>h2<3i<7p*:9;;8m7g=831b>o4?::m0b?6=3th2=7>53;294~Ja838p(8=50:l60?`53t.>5774i3c94?=n:k0;66a<f;29?xd>:3:1?7>50zNe4?4|,<91<6`:4;d6?x"21330e?o50;9j6g<722e8j7>5;|`4<?6=:3:1<vBi0;0x 05=82d>87o9;|&6=?4a3`826=44o2d94?=zj>l1<7:50;2xHc6=:r.>?7>4n469fa=z,<318?5f2`83>>o5j3:17d<l:188k6`=831vn:<50;494?6|Do:1>v*:3;28j02=1>1v(875459j6d<722c9n7>5;h0`>5<<a;n1<75f2d83>>i4n3:17pl6d;296?6=8rFm<7<t$4194>h2<3;;56s+5881b>o513:17b=i:188yg1>290>6=4?{Md3>7}#=:0;7c;;:`:8y!3>2=90e?o50;9j6g<722c9o7>5;h0g>5<<g:l1<75rb9a94?3=83:p@k>52z&67?6<f<>1i85r$4;906=n:h0;66g=b;29?l4d2900e?j50;9l7c<722wi4k4?:483>5}Kn909w);<:19m11<bi2w/944;3:k1e?6=3`8i6=44i3a94?=n:m0;66a<f;29?xd083:1?7>50zNe4?4|,<91<6`:4;;2?x"21330e?o50;9j6g<722e8j7>5;|`4g?6=;3:1<vBi0;0x 05=82d>87l<;|&6=??<a;k1<75f2c83>>i4n3:17pl71;297?6=8rFm<7<t$4194>h2<3i?7p*:9;;8m7g=831b>o4?::m0b?6=3th3:7>55;294~Ja838p(8=50:l60?eb3t.>57:<;h0b>5<<a;h1<75f2b83>>o5l3:17b=i:188yg1e29086=4?{Md3>7}#=:0;7c;;:c28y!3>201b>l4?::k1f?6=3f9m6=44}c:a>5<4290;wAh?:3y'16<73g??6h<4}%7:><=n:h0;66g=b;29?j5a2900qo68:180>5<7sEl;6?u+5283?k332m90q);6:538m7g=831b>o4?::m0b?6=3th2:7>52;294~Ja838p(8=50:l60?`a3t.>57<i;h0:>5<<g:l1<75rb8694?4=83:p@k>52z&67?6<f<>1jo5r$4;96c=n:00;66a<f;29?xd>m3:1>7>50zNe4?4|,<91<6`:4;33f>{#=009j6g=9;29?j5a2900qo7::181>5<7sEl;6?u+5283?k332on0q);6:3d8m7?=831d?k4?::a=g<72;0;6=uCf181!34291e994>049~ 0?=:o1b>44?::m0b?6=3th2o7>52;294~Ja838p(8=50:l60?77?2w/944=f:k1=?6=3f9m6=44}c;;>5<5290;wAh?:3y'16<73g??6<><;|&6=?4a3`826=44o2d94?=zj0=1<7<50;2xHc6=:r.>?7>4n469557<u-?26?h4i3;94?=h;o0;66sm8283>7<729qGj=4={%70>5=i==0<j6s+588f?l4>2900c>h50;9~f=c=8381<7>tLg296~"2;3:0b8:5809~ 0?=m2c957>5;n1e>5<<uk3j6=4=:183I`72;q/9>4?;o77>=5<u-?26h5f2883>>i4n3:17pln0;296?6=8rFm<7<t$4194>h2<32>7p*:9;g8m7?=831d?k4?::a25<7280;6=uCf181!34291e99477:'1<<5?2e9:7>5;|`55?6=93:1<vBi0;0x 05=82d>8767;|&6=?3f3f>>6=44}r194?1|V:16;>4=b:?40?4>34=j6?l4=6g96g=:0009o6363;0a?xuc2902wSj4=6c96d=:?l09m639e;0b?8122;3015;52b9><=<5j273m7<m;<;3>7d<uz;:6=4n{_32?8>>2;k01;h52b9>34<5i27<:7<6;<5g>7e<51:1>o528581e>;>938i707=:3`8yv72290<wS?:;<4f>7e<51?1>o526g81f>;0l38i7097:3;892`=:h1vh=50;0x925=;o16;?4=a:pg?6=>r7<87=i;<:;>7e<51k1>n526g81e>;0l38j707k:3;8yvc02909w09n:2d892?=:m1vl:50;0x92c=;o16;k4=c:peg<72;q6444<f:?;g?4d3tyi?7>52z?:7?5a342m6?o4}rfe>5<5s4<n6>h4=6096`=z{8:1<76t=6196d=:0009n6385;1e?8>32;h014<52`9>35<5i27<o7<n;<:2>7d<uzk=6=4={<:6>6`<51<1>l5rs`c94?4|5121?k528b81`>{tim0;6?u28`80b>;?k38i7p}m0;296~;>839m706i:3f8yvc72909w08i:2d8924=:m1vh<50;0x927=;o16;?4=b:p51<720q6:h4=b:?;1?4f34236?o4=8296d=:??08j638f;0a?81d2;h01:l52c9><g<5j2wxil4?:3y>3a<4n27<57<n;|qb<?6=;r73<7=i;<:5>7b<51=1>o5rs`794?4|51>1?k528781f>{tj80;6?u29080b>;?n38h7p}m2;296~;>:39m706i:3`8yv7f290jw07<:3c89=g=:h16;<4=b:?;4?4f343:6?o4=6:97c=:?909n6371;0b?81e2;k015l52`9~wg1=838p1:h53g9>=3<512wxn94?:3y>37<4n27287<6;|qa`?6=:r72h7=i;<;f>7?<uzh=6=4={<5:>6`<50?1>45rsc`94?4|51i1?k529c81=>{tjj0;6?u28g80b>;>k3827p}j1;296~;0:38h709?:2d8yvc>2909w096:3`892e=;o1vl750;1x9=7=;o164;4=c:?;3?4f3tyi47>52z?;2?5a34336?74}rg;>5<5s4=26?m4=6`97c=z{ho1<7<t=9a96d=:0k08j6s|b883>7}:0>08j6367;0:?xuak3:1>vPic:?:2?5a3tym:7>52z\e2>;><39m7p}>0983>7}Y992014k53g9~wcd=838pRkl4=8797c=z{ol1<7<t^gd89<d=;o1v<>?:181[778272o7=i;|qe`?6=:rTmh6368;1e?xuam3:1>vPie:?:3?5a3ty>6=4={_789=5=;o1v;4?:3y]2>;?m39m7p}8:181[1<50k1?k5rs983>7}Y027j<7=i;|q`6?6=:r7j<7<6;<43>70<uzkm6=4;{<:0>7?<51o1>4529`81=>;193>>7psma183>4<729qGj=4={%70>5=i==08ho5r$4;91d=h<<0;66s|3;291~X434?n6ko4=7;9bd=:>m0mm6387;35?xuc290>wSj4=4g9b<=:>00m5639d;d:?8>428<0q~?>:186[7634?n6k64=7;9b==:>m0m4637e;35?xu6=3:19vP>5:?6a?`034<26k94=7f9b2=:1h0::6s|4g83>0}Y<o16;:4;f:?;7?2a342n69h4=8c90c=z{<:1<7;t^428921==9164>4:0:?;a?37343j68>4}rab>5<5s4?n6<>=;<;b>4><uzi26=4={<7f>464342n6<64}ra;>5<5s4?n6<>;;<:0>4><uzi<6=4={<7f>46234=<6<64}raa>5<5s4<26<>=;<;b>4?<uzih6=4={<4:>464342n6<74}rag>5<5s4<26<>;;<:0>4?<uzn;6=4={<4:>46234=<6<74}rf2>5<5s4<o6<>=;<;b>41<uzn96=4={<4g>464342n6<94}rf0>5<5s4<o6<>;;<:0>41<uzn?6=4={<4g>46234=<6<94}ra3>5<5s4=<6>h4=`397>{tk80;6?u28280b>;f93n0q~m=:1818>b2:l01l?5109~wf5=838p14o53g9>e4<6=2wx97>52z\6?8g62<1v;4?:3y]2>;f93<0q~950;0xZ2=:i80<7p}7:181[><5h;146s|f783>7}Yn?16m<4i6:pbg<72;qUjo52a08ef>{tnj0;6?uQfb9>e4<ak2wxji4?:3y]ba=:i80mh6s|fd83>7}Ynl16m<4ie:pbc<72;qUjk52a08eb>{t99:1<7<t^023?8g628:;7p}>0983>7}Y99201l?511:8yvg0290>w098:3789=5=:<164h4=5:?:e?4234k;69;4}|~yEFDs=n86ll=2daaexFGJr:vLM^t}AB \ No newline at end of file
diff --git a/ALU.pad b/ALU.pad
index 5481d6b..04e328a 100755
--- a/ALU.pad
+++ b/ALU.pad
@@ -1,7 +1,7 @@
Release 13.3 - par O.76xd (nt64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
-Wed Feb 15 15:16:15 2012
+Thu Feb 16 19:53:19 2012
# NOTE: This file is designed to be imported into a spreadsheet program
@@ -26,7 +26,7 @@ A4||IOBS|IO_L5N_0|UNUSED||0|||||||||
A5||IOBS|IO_L6N_0|UNUSED||0|||||||||
A6||IOBS|IO_L8N_VREF_0|UNUSED||0|||||||||
A7||IOBS|IO_L10N_0|UNUSED||0|||||||||
-A8|C|IOB|IO_L33N_0|INPUT|LVCMOS25*|0||||NONE||LOCATED|NO|NONE|
+A8||IOBS|IO_L33N_0|UNUSED||0|||||||||
A9||IOBS|IO_L35N_GCLK16_0|UNUSED||0|||||||||
A10||IOBS|IO_L37N_GCLK12_0|UNUSED||0|||||||||
A11||IOBS|IO_L39N_0|UNUSED||0|||||||||
@@ -58,12 +58,12 @@ B18|||TMS||||||||||||
C1||IOBS|IO_L83N_VREF_3|UNUSED||3|||||||||
C2||IOBM|IO_L83P_3|UNUSED||3|||||||||
C3|||GND||||||||||||
-C4||IOBS|IO_L1N_VREF_0|UNUSED||0|||||||||
+C4|A|IOB|IO_L1N_VREF_0|INPUT|LVCMOS25*|0||||NONE||LOCATED|NO|NONE|
C5||IOBM|IO_L6P_0|UNUSED||0|||||||||
C6||IOBS|IO_L3N_0|UNUSED||0|||||||||
C7||IOBM|IO_L10P_0|UNUSED||0|||||||||
C8||IOBS|IO_L11N_0|UNUSED||0|||||||||
-C9|A|IOB|IO_L34N_GCLK18_0|INPUT|LVCMOS25*|0||||NONE||LOCATED|NO|NONE|
+C9|D|IOB|IO_L34N_GCLK18_0|INPUT|LVCMOS25*|0||||NONE||LOCATED|NO|NONE|
C10||IOBM|IO_L37P_GCLK13_0|UNUSED||0|||||||||
C11||IOBS|IO_L36N_GCLK14_0|UNUSED||0|||||||||
C12||IOBS|IO_L47N_0|UNUSED||0|||||||||
@@ -81,7 +81,7 @@ D5|||GND||||||||||||
D6||IOBM|IO_L3P_0|UNUSED||0|||||||||
D7|||VCCO_0|||0|||||any******||||
D8||IOBM|IO_L11P_0|UNUSED||0|||||||||
-D9|D|IOB|IO_L34P_GCLK19_0|INPUT|LVCMOS25*|0||||NONE||LOCATED|NO|NONE|
+D9|C|IOB|IO_L34P_GCLK19_0|INPUT|LVCMOS25*|0||||NONE||LOCATED|NO|NONE|
D10|||GND||||||||||||
D11||IOBM|IO_L36P_GCLK15_0|UNUSED||0|||||||||
D12||IOBM|IO_L47P_0|UNUSED||0|||||||||
diff --git a/ALU.par b/ALU.par
index 4b07d80..3adecd5 100755
--- a/ALU.par
+++ b/ALU.par
@@ -1,7 +1,7 @@
Release 13.3 par O.76xd (nt64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
-ECE-PHO115-09:: Wed Feb 15 15:16:04 2012
+ECE-PHO115-08:: Thu Feb 16 19:53:08 2012
par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf
@@ -36,7 +36,7 @@ Slice Logic Utilization:
Number used as Memory: 0 out of 2,176 0%
Slice Logic Distribution:
- Number of occupied Slices: 5 out of 2,278 1%
+ Number of occupied Slices: 6 out of 2,278 1%
Nummber of MUXCYs used: 0 out of 4,556 0%
Number of LUT Flip Flop pairs used: 13
Number with an unused Flip Flop: 13 out of 13 100%
@@ -92,9 +92,9 @@ Phase 1 : 67 unrouted; REAL time: 5 secs
Phase 2 : 67 unrouted; REAL time: 5 secs
-Phase 3 : 49 unrouted; REAL time: 6 secs
+Phase 3 : 25 unrouted; REAL time: 6 secs
-Phase 4 : 49 unrouted; (Par is working to improve performance) REAL time: 7 secs
+Phase 4 : 25 unrouted; (Par is working to improve performance) REAL time: 7 secs
Updating file: ALU.ncd with current fully routed design.
@@ -129,10 +129,10 @@ Generating Pad Report.
All signals are completely routed.
-Total REAL time to PAR completion: 19 secs
+Total REAL time to PAR completion: 16 secs
Total CPU time to PAR completion: 7 secs
-Peak Memory Usage: 307 MB
+Peak Memory Usage: 308 MB
Placer: Placement generated during map.
Routing: Completed - No errors found.
diff --git a/ALU.pcf b/ALU.pcf
index 174c14a..4c41ef8 100755
--- a/ALU.pcf
+++ b/ALU.pcf
@@ -1,5 +1,5 @@
//! **************************************************************************
-// Written by: Map O.76xd on Wed Feb 15 15:15:59 2012
+// Written by: Map O.76xd on Thu Feb 16 19:53:03 2012
//! **************************************************************************
SCHEMATIC START;
@@ -14,10 +14,10 @@ COMP "AN0" LOCATE = SITE "N16" LEVEL 1;
COMP "AN1" LOCATE = SITE "N15" LEVEL 1;
COMP "AN2" LOCATE = SITE "P18" LEVEL 1;
COMP "AN3" LOCATE = SITE "P17" LEVEL 1;
-COMP "A" LOCATE = SITE "C9" LEVEL 1;
+COMP "A" LOCATE = SITE "C4" LEVEL 1;
COMP "B" LOCATE = SITE "B8" LEVEL 1;
-COMP "C" LOCATE = SITE "A8" LEVEL 1;
-COMP "D" LOCATE = SITE "D9" LEVEL 1;
+COMP "C" LOCATE = SITE "D9" LEVEL 1;
+COMP "D" LOCATE = SITE "C9" LEVEL 1;
COMP "g_out" LOCATE = SITE "L14" LEVEL 1;
COMP "a_out" LOCATE = SITE "T17" LEVEL 1;
COMP "b_out" LOCATE = SITE "T18" LEVEL 1;
diff --git a/ALU.sch b/ALU.sch
index 78bc8e1..abd63bb 100755
--- a/ALU.sch
+++ b/ALU.sch
@@ -1,466 +1,466 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="A" />
- <signal name="B" />
- <signal name="C" />
- <signal name="D" />
- <signal name="XLXN_12" />
- <signal name="S1" />
- <signal name="S0" />
- <signal name="XLXN_22" />
- <signal name="XLXN_23" />
- <signal name="XLXN_24" />
- <signal name="XLXN_25" />
- <signal name="XLXN_26" />
- <signal name="XLXN_27" />
- <signal name="XLXN_28" />
- <signal name="XLXN_31" />
- <signal name="XLXN_33" />
- <signal name="XLXN_34" />
- <signal name="XLXN_35" />
- <signal name="XLXN_36" />
- <signal name="XLXN_37" />
- <signal name="XLXN_38" />
- <signal name="XLXN_39" />
- <signal name="XLXN_41" />
- <signal name="a_out" />
- <signal name="b_out" />
- <signal name="c_out" />
- <signal name="d_out" />
- <signal name="e_out" />
- <signal name="f_out" />
- <signal name="g_out" />
- <signal name="sign" />
- <signal name="AN0" />
- <signal name="AN1" />
- <signal name="AN2" />
- <signal name="AN3" />
- <port polarity="Input" name="A" />
- <port polarity="Input" name="B" />
- <port polarity="Input" name="C" />
- <port polarity="Input" name="D" />
- <port polarity="Input" name="S1" />
- <port polarity="Input" name="S0" />
- <port polarity="Output" name="a_out" />
- <port polarity="Output" name="b_out" />
- <port polarity="Output" name="c_out" />
- <port polarity="Output" name="d_out" />
- <port polarity="Output" name="e_out" />
- <port polarity="Output" name="f_out" />
- <port polarity="Output" name="g_out" />
- <port polarity="Output" name="sign" />
- <port polarity="Output" name="AN0" />
- <port polarity="Output" name="AN1" />
- <port polarity="Output" name="AN2" />
- <port polarity="Output" name="AN3" />
- <blockdef name="Divide">
- <timestamp>2012-2-16T0:25:40</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- <line x2="384" y1="-160" y2="-160" x1="320" />
- <line x2="384" y1="-96" y2="-96" x1="320" />
- <line x2="384" y1="-32" y2="-32" x1="320" />
- </blockdef>
- <blockdef name="Modulo">
- <timestamp>2012-2-16T0:29:51</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- <line x2="384" y1="-160" y2="-160" x1="320" />
- <line x2="384" y1="-96" y2="-96" x1="320" />
- <line x2="384" y1="-32" y2="-32" x1="320" />
- </blockdef>
- <blockdef name="Negate">
- <timestamp>2012-2-16T0:44:17</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- <line x2="384" y1="-160" y2="-160" x1="320" />
- <line x2="384" y1="-96" y2="-96" x1="320" />
- <line x2="384" y1="-32" y2="-32" x1="320" />
- </blockdef>
- <blockdef name="m4_1e">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="96" y1="-416" y2="-416" x1="0" />
- <line x2="96" y1="-352" y2="-352" x1="0" />
- <line x2="96" y1="-288" y2="-288" x1="0" />
- <line x2="96" y1="-224" y2="-224" x1="0" />
- <line x2="96" y1="-32" y2="-32" x1="0" />
- <line x2="256" y1="-320" y2="-320" x1="320" />
- <line x2="96" y1="-160" y2="-160" x1="0" />
- <line x2="96" y1="-96" y2="-96" x1="0" />
- <line x2="96" y1="-96" y2="-96" x1="176" />
- <line x2="176" y1="-208" y2="-96" x1="176" />
- <line x2="96" y1="-32" y2="-32" x1="224" />
- <line x2="224" y1="-216" y2="-32" x1="224" />
- <line x2="96" y1="-224" y2="-192" x1="256" />
- <line x2="256" y1="-416" y2="-224" x1="256" />
- <line x2="256" y1="-448" y2="-416" x1="96" />
- <line x2="96" y1="-192" y2="-448" x1="96" />
- <line x2="96" y1="-160" y2="-160" x1="128" />
- <line x2="128" y1="-200" y2="-160" x1="128" />
- </blockdef>
- <blockdef name="vcc">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-32" y2="-64" x1="64" />
- <line x2="64" y1="0" y2="-32" x1="64" />
- <line x2="32" y1="-64" y2="-64" x1="96" />
- </blockdef>
- <blockdef name="sev_seg_disp">
- <timestamp>2012-2-14T0:35:26</timestamp>
- <rect width="256" x="64" y="-768" height="768" />
- <line x2="0" y1="-736" y2="-736" x1="64" />
- <line x2="0" y1="-512" y2="-512" x1="64" />
- <line x2="0" y1="-288" y2="-288" x1="64" />
- <line x2="0" y1="-64" y2="-64" x1="64" />
- <line x2="384" y1="-736" y2="-736" x1="320" />
- <line x2="384" y1="-672" y2="-672" x1="320" />
- <line x2="384" y1="-608" y2="-608" x1="320" />
- <line x2="384" y1="-544" y2="-544" x1="320" />
- <line x2="384" y1="-480" y2="-480" x1="320" />
- <line x2="384" y1="-416" y2="-416" x1="320" />
- <line x2="384" y1="-352" y2="-352" x1="320" />
- <line x2="384" y1="-288" y2="-288" x1="320" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- <line x2="384" y1="-160" y2="-160" x1="320" />
- <line x2="384" y1="-96" y2="-96" x1="320" />
- <line x2="384" y1="-32" y2="-32" x1="320" />
- </blockdef>
- <block symbolname="Divide" name="XLXI_1">
- <blockpin signalname="A" name="b3" />
- <blockpin signalname="D" name="b0" />
- <blockpin signalname="C" name="b1" />
- <blockpin signalname="B" name="b2" />
- <blockpin signalname="XLXN_36" name="out0" />
- <blockpin signalname="XLXN_35" name="out1" />
- <blockpin signalname="XLXN_34" name="out2" />
- <blockpin signalname="XLXN_33" name="out3" />
- </block>
- <block symbolname="Modulo" name="XLXI_2">
- <blockpin signalname="D" name="b0" />
- <blockpin signalname="A" name="b3" />
- <blockpin signalname="B" name="b2" />
- <blockpin signalname="C" name="b1" />
- <blockpin signalname="XLXN_41" name="out3" />
- <blockpin signalname="XLXN_39" name="out2" />
- <blockpin signalname="XLXN_38" name="out1" />
- <blockpin signalname="XLXN_37" name="out0" />
- </block>
- <block symbolname="Negate" name="XLXI_3">
- <blockpin signalname="D" name="b0" />
- <blockpin signalname="B" name="b2" />
- <blockpin signalname="A" name="b3" />
- <blockpin signalname="C" name="b1" />
- <blockpin signalname="XLXN_31" name="out2" />
- <blockpin signalname="XLXN_28" name="out1" />
- <blockpin signalname="XLXN_27" name="out0" />
- <blockpin signalname="XLXN_26" name="out3" />
- </block>
- <block symbolname="m4_1e" name="XLXI_4">
- <blockpin signalname="A" name="D0" />
- <blockpin signalname="XLXN_26" name="D1" />
- <blockpin signalname="XLXN_33" name="D2" />
- <blockpin signalname="XLXN_41" name="D3" />
- <blockpin signalname="XLXN_12" name="E" />
- <blockpin signalname="S0" name="S0" />
- <blockpin signalname="S1" name="S1" />
- <blockpin signalname="XLXN_22" name="O" />
- </block>
- <block symbolname="m4_1e" name="XLXI_5">
- <blockpin signalname="B" name="D0" />
- <blockpin signalname="XLXN_27" name="D1" />
- <blockpin signalname="XLXN_34" name="D2" />
- <blockpin signalname="XLXN_39" name="D3" />
- <blockpin signalname="XLXN_12" name="E" />
- <blockpin signalname="S0" name="S0" />
- <blockpin signalname="S1" name="S1" />
- <blockpin signalname="XLXN_23" name="O" />
- </block>
- <block symbolname="m4_1e" name="XLXI_6">
- <blockpin signalname="C" name="D0" />
- <blockpin signalname="XLXN_28" name="D1" />
- <blockpin signalname="XLXN_35" name="D2" />
- <blockpin signalname="XLXN_38" name="D3" />
- <blockpin signalname="XLXN_12" name="E" />
- <blockpin signalname="S0" name="S0" />
- <blockpin signalname="S1" name="S1" />
- <blockpin signalname="XLXN_24" name="O" />
- </block>
- <block symbolname="m4_1e" name="XLXI_7">
- <blockpin signalname="D" name="D0" />
- <blockpin signalname="XLXN_31" name="D1" />
- <blockpin signalname="XLXN_36" name="D2" />
- <blockpin signalname="XLXN_37" name="D3" />
- <blockpin signalname="XLXN_12" name="E" />
- <blockpin signalname="S0" name="S0" />
- <blockpin signalname="S1" name="S1" />
- <blockpin signalname="XLXN_25" name="O" />
- </block>
- <block symbolname="vcc" name="XLXI_8">
- <blockpin signalname="XLXN_12" name="P" />
- </block>
- <block symbolname="sev_seg_disp" name="XLXI_9">
- <blockpin signalname="XLXN_22" name="A" />
- <blockpin signalname="XLXN_23" name="B" />
- <blockpin signalname="XLXN_24" name="C" />
- <blockpin signalname="XLXN_25" name="D" />
- <blockpin signalname="a_out" name="a_out" />
- <blockpin signalname="b_out" name="b_out" />
- <blockpin signalname="c_out" name="c_out" />
- <blockpin signalname="d_out" name="d_out" />
- <blockpin signalname="e_out" name="e_out" />
- <blockpin signalname="f_out" name="f_out" />
- <blockpin signalname="g_out" name="g_out" />
- <blockpin signalname="sign" name="sign" />
- <blockpin signalname="AN0" name="AN0" />
- <blockpin signalname="AN1" name="AN1" />
- <blockpin signalname="AN2" name="AN2" />
- <blockpin signalname="AN3" name="AN3" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <instance x="816" y="864" name="XLXI_1" orien="R0">
- </instance>
- <instance x="800" y="1344" name="XLXI_2" orien="R0">
- </instance>
- <instance x="800" y="1776" name="XLXI_3" orien="R0">
- </instance>
- <instance x="1888" y="720" name="XLXI_4" orien="R0" />
- <instance x="1888" y="1200" name="XLXI_5" orien="R0" />
- <instance x="1872" y="1664" name="XLXI_6" orien="R0" />
- <instance x="1856" y="2160" name="XLXI_7" orien="R0" />
- <branch name="A">
- <wire x2="496" y1="176" y2="176" x1="240" />
- <wire x2="496" y1="176" y2="640" x1="496" />
- <wire x2="496" y1="640" y2="1184" x1="496" />
- <wire x2="496" y1="1184" y2="1680" x1="496" />
- <wire x2="800" y1="1680" y2="1680" x1="496" />
- <wire x2="800" y1="1184" y2="1184" x1="496" />
- <wire x2="816" y1="640" y2="640" x1="496" />
- <wire x2="1184" y1="176" y2="176" x1="496" />
- <wire x2="1184" y1="176" y2="304" x1="1184" />
- <wire x2="1888" y1="304" y2="304" x1="1184" />
- </branch>
- <branch name="B">
- <wire x2="640" y1="256" y2="256" x1="240" />
- <wire x2="640" y1="256" y2="832" x1="640" />
- <wire x2="640" y1="832" y2="1248" x1="640" />
- <wire x2="640" y1="1248" y2="1616" x1="640" />
- <wire x2="800" y1="1616" y2="1616" x1="640" />
- <wire x2="800" y1="1248" y2="1248" x1="640" />
- <wire x2="816" y1="832" y2="832" x1="640" />
- <wire x2="1264" y1="256" y2="256" x1="640" />
- <wire x2="1264" y1="256" y2="784" x1="1264" />
- <wire x2="1888" y1="784" y2="784" x1="1264" />
- </branch>
- <branch name="C">
- <wire x2="624" y1="352" y2="352" x1="240" />
- <wire x2="624" y1="352" y2="768" x1="624" />
- <wire x2="624" y1="768" y2="1312" x1="624" />
- <wire x2="624" y1="1312" y2="1744" x1="624" />
- <wire x2="800" y1="1744" y2="1744" x1="624" />
- <wire x2="800" y1="1312" y2="1312" x1="624" />
- <wire x2="816" y1="768" y2="768" x1="624" />
- <wire x2="1248" y1="352" y2="352" x1="624" />
- <wire x2="1248" y1="352" y2="1248" x1="1248" />
- <wire x2="1872" y1="1248" y2="1248" x1="1248" />
- </branch>
- <iomarker fontsize="28" x="240" y="176" name="A" orien="R180" />
- <iomarker fontsize="28" x="240" y="256" name="B" orien="R180" />
- <iomarker fontsize="28" x="240" y="352" name="C" orien="R180" />
- <iomarker fontsize="28" x="240" y="480" name="D" orien="R180" />
- <branch name="D">
- <wire x2="480" y1="480" y2="480" x1="240" />
- <wire x2="480" y1="480" y2="704" x1="480" />
- <wire x2="480" y1="704" y2="1120" x1="480" />
- <wire x2="480" y1="1120" y2="1552" x1="480" />
- <wire x2="800" y1="1552" y2="1552" x1="480" />
- <wire x2="800" y1="1120" y2="1120" x1="480" />
- <wire x2="816" y1="704" y2="704" x1="480" />
- <wire x2="1216" y1="480" y2="480" x1="480" />
- <wire x2="1216" y1="480" y2="1744" x1="1216" />
- <wire x2="1856" y1="1744" y2="1744" x1="1216" />
- </branch>
- <instance x="1680" y="128" name="XLXI_8" orien="R0" />
- <branch name="XLXN_12">
- <wire x2="1744" y1="128" y2="688" x1="1744" />
- <wire x2="1744" y1="688" y2="1168" x1="1744" />
- <wire x2="1744" y1="1168" y2="1632" x1="1744" />
- <wire x2="1744" y1="1632" y2="2128" x1="1744" />
- <wire x2="1856" y1="2128" y2="2128" x1="1744" />
- <wire x2="1872" y1="1632" y2="1632" x1="1744" />
- <wire x2="1888" y1="1168" y2="1168" x1="1744" />
- <wire x2="1888" y1="688" y2="688" x1="1744" />
- </branch>
- <branch name="S1">
- <wire x2="1744" y1="2272" y2="2512" x1="1744" />
- <wire x2="1808" y1="2272" y2="2272" x1="1744" />
- <wire x2="1888" y1="624" y2="624" x1="1808" />
- <wire x2="1808" y1="624" y2="1104" x1="1808" />
- <wire x2="1888" y1="1104" y2="1104" x1="1808" />
- <wire x2="1808" y1="1104" y2="1568" x1="1808" />
- <wire x2="1872" y1="1568" y2="1568" x1="1808" />
- <wire x2="1808" y1="1568" y2="2064" x1="1808" />
- <wire x2="1808" y1="2064" y2="2272" x1="1808" />
- <wire x2="1856" y1="2064" y2="2064" x1="1808" />
- </branch>
- <branch name="S0">
- <wire x2="1888" y1="560" y2="560" x1="1664" />
- <wire x2="1664" y1="560" y2="1040" x1="1664" />
- <wire x2="1888" y1="1040" y2="1040" x1="1664" />
- <wire x2="1664" y1="1040" y2="1504" x1="1664" />
- <wire x2="1872" y1="1504" y2="1504" x1="1664" />
- <wire x2="1664" y1="1504" y2="2000" x1="1664" />
- <wire x2="1664" y1="2000" y2="2512" x1="1664" />
- <wire x2="1856" y1="2000" y2="2000" x1="1664" />
- </branch>
- <iomarker fontsize="28" x="1664" y="2512" name="S0" orien="R90" />
- <iomarker fontsize="28" x="1744" y="2512" name="S1" orien="R90" />
- <instance x="2656" y="1392" name="XLXI_9" orien="R0">
- </instance>
- <branch name="XLXN_22">
- <wire x2="2432" y1="400" y2="400" x1="2208" />
- <wire x2="2432" y1="400" y2="656" x1="2432" />
- <wire x2="2656" y1="656" y2="656" x1="2432" />
- </branch>
- <branch name="XLXN_23">
- <wire x2="2656" y1="880" y2="880" x1="2208" />
- </branch>
- <branch name="XLXN_24">
- <wire x2="2416" y1="1344" y2="1344" x1="2192" />
- <wire x2="2416" y1="1104" y2="1344" x1="2416" />
- <wire x2="2656" y1="1104" y2="1104" x1="2416" />
- </branch>
- <branch name="XLXN_25">
- <wire x2="2432" y1="1840" y2="1840" x1="2176" />
- <wire x2="2432" y1="1328" y2="1840" x1="2432" />
- <wire x2="2656" y1="1328" y2="1328" x1="2432" />
- </branch>
- <branch name="XLXN_26">
- <wire x2="1200" y1="1744" y2="1744" x1="1184" />
- <wire x2="1200" y1="1744" y2="1808" x1="1200" />
- <wire x2="1536" y1="1808" y2="1808" x1="1200" />
- <wire x2="1888" y1="368" y2="368" x1="1536" />
- <wire x2="1536" y1="368" y2="1808" x1="1536" />
- </branch>
- <branch name="XLXN_27">
- <wire x2="1520" y1="1680" y2="1680" x1="1184" />
- <wire x2="1888" y1="848" y2="848" x1="1520" />
- <wire x2="1520" y1="848" y2="1680" x1="1520" />
- </branch>
- <branch name="XLXN_28">
- <wire x2="1552" y1="1616" y2="1616" x1="1184" />
- <wire x2="1872" y1="1312" y2="1312" x1="1552" />
- <wire x2="1552" y1="1312" y2="1616" x1="1552" />
- </branch>
- <branch name="XLXN_31">
- <wire x2="1568" y1="1552" y2="1552" x1="1184" />
- <wire x2="1568" y1="1552" y2="1808" x1="1568" />
- <wire x2="1856" y1="1808" y2="1808" x1="1568" />
- </branch>
- <branch name="XLXN_33">
- <wire x2="1520" y1="832" y2="832" x1="1200" />
- <wire x2="1520" y1="432" y2="832" x1="1520" />
- <wire x2="1888" y1="432" y2="432" x1="1520" />
- </branch>
- <branch name="XLXN_34">
- <wire x2="1504" y1="768" y2="768" x1="1200" />
- <wire x2="1504" y1="768" y2="912" x1="1504" />
- <wire x2="1888" y1="912" y2="912" x1="1504" />
- </branch>
- <branch name="XLXN_35">
- <wire x2="1488" y1="704" y2="704" x1="1200" />
- <wire x2="1488" y1="704" y2="1376" x1="1488" />
- <wire x2="1872" y1="1376" y2="1376" x1="1488" />
- </branch>
- <branch name="XLXN_36">
- <wire x2="1472" y1="640" y2="640" x1="1200" />
- <wire x2="1472" y1="640" y2="1872" x1="1472" />
- <wire x2="1856" y1="1872" y2="1872" x1="1472" />
- </branch>
- <branch name="XLXN_37">
- <wire x2="1504" y1="1312" y2="1312" x1="1184" />
- <wire x2="1504" y1="1312" y2="1936" x1="1504" />
- <wire x2="1856" y1="1936" y2="1936" x1="1504" />
- </branch>
- <branch name="XLXN_38">
- <wire x2="1200" y1="1248" y2="1248" x1="1184" />
- <wire x2="1200" y1="1248" y2="1440" x1="1200" />
- <wire x2="1872" y1="1440" y2="1440" x1="1200" />
- </branch>
- <branch name="XLXN_39">
- <wire x2="1504" y1="1184" y2="1184" x1="1184" />
- <wire x2="1504" y1="976" y2="1184" x1="1504" />
- <wire x2="1888" y1="976" y2="976" x1="1504" />
- </branch>
- <branch name="XLXN_41">
- <wire x2="1552" y1="1120" y2="1120" x1="1184" />
- <wire x2="1552" y1="496" y2="1120" x1="1552" />
- <wire x2="1888" y1="496" y2="496" x1="1552" />
- </branch>
- <branch name="a_out">
- <wire x2="3072" y1="656" y2="656" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="656" name="a_out" orien="R0" />
- <branch name="b_out">
- <wire x2="3072" y1="720" y2="720" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="720" name="b_out" orien="R0" />
- <branch name="c_out">
- <wire x2="3072" y1="784" y2="784" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="784" name="c_out" orien="R0" />
- <branch name="d_out">
- <wire x2="3072" y1="848" y2="848" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="848" name="d_out" orien="R0" />
- <branch name="e_out">
- <wire x2="3072" y1="912" y2="912" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="912" name="e_out" orien="R0" />
- <branch name="f_out">
- <wire x2="3072" y1="976" y2="976" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="976" name="f_out" orien="R0" />
- <branch name="g_out">
- <wire x2="3072" y1="1040" y2="1040" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1040" name="g_out" orien="R0" />
- <branch name="sign">
- <wire x2="3072" y1="1104" y2="1104" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1104" name="sign" orien="R0" />
- <branch name="AN0">
- <wire x2="3072" y1="1168" y2="1168" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1168" name="AN0" orien="R0" />
- <branch name="AN1">
- <wire x2="3072" y1="1232" y2="1232" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1232" name="AN1" orien="R0" />
- <branch name="AN2">
- <wire x2="3072" y1="1296" y2="1296" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1296" name="AN2" orien="R0" />
- <branch name="AN3">
- <wire x2="3072" y1="1360" y2="1360" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1360" name="AN3" orien="R0" />
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="A" />
+ <signal name="B" />
+ <signal name="C" />
+ <signal name="XLXN_12" />
+ <signal name="S1" />
+ <signal name="S0" />
+ <signal name="XLXN_22" />
+ <signal name="XLXN_23" />
+ <signal name="XLXN_24" />
+ <signal name="XLXN_25" />
+ <signal name="XLXN_33" />
+ <signal name="XLXN_34" />
+ <signal name="XLXN_35" />
+ <signal name="XLXN_36" />
+ <signal name="XLXN_37" />
+ <signal name="XLXN_38" />
+ <signal name="XLXN_39" />
+ <signal name="XLXN_41" />
+ <signal name="a_out" />
+ <signal name="b_out" />
+ <signal name="c_out" />
+ <signal name="d_out" />
+ <signal name="e_out" />
+ <signal name="f_out" />
+ <signal name="g_out" />
+ <signal name="sign" />
+ <signal name="AN0" />
+ <signal name="AN1" />
+ <signal name="AN2" />
+ <signal name="AN3" />
+ <signal name="D" />
+ <signal name="XLXN_42" />
+ <signal name="XLXN_43" />
+ <signal name="XLXN_44" />
+ <signal name="XLXN_45" />
+ <port polarity="Input" name="A" />
+ <port polarity="Input" name="B" />
+ <port polarity="Input" name="C" />
+ <port polarity="Input" name="S1" />
+ <port polarity="Input" name="S0" />
+ <port polarity="Output" name="a_out" />
+ <port polarity="Output" name="b_out" />
+ <port polarity="Output" name="c_out" />
+ <port polarity="Output" name="d_out" />
+ <port polarity="Output" name="e_out" />
+ <port polarity="Output" name="f_out" />
+ <port polarity="Output" name="g_out" />
+ <port polarity="Output" name="sign" />
+ <port polarity="Output" name="AN0" />
+ <port polarity="Output" name="AN1" />
+ <port polarity="Output" name="AN2" />
+ <port polarity="Output" name="AN3" />
+ <port polarity="Input" name="D" />
+ <blockdef name="Divide">
+ <timestamp>2012-2-17T0:27:58</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </blockdef>
+ <blockdef name="Modulo">
+ <timestamp>2012-2-17T0:52:15</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </blockdef>
+ <blockdef name="Negate">
+ <timestamp>2012-2-16T23:54:10</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </blockdef>
+ <blockdef name="m4_1e">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="96" y1="-416" y2="-416" x1="0" />
+ <line x2="96" y1="-352" y2="-352" x1="0" />
+ <line x2="96" y1="-288" y2="-288" x1="0" />
+ <line x2="96" y1="-224" y2="-224" x1="0" />
+ <line x2="96" y1="-32" y2="-32" x1="0" />
+ <line x2="256" y1="-320" y2="-320" x1="320" />
+ <line x2="96" y1="-160" y2="-160" x1="0" />
+ <line x2="96" y1="-96" y2="-96" x1="0" />
+ <line x2="96" y1="-96" y2="-96" x1="176" />
+ <line x2="176" y1="-208" y2="-96" x1="176" />
+ <line x2="96" y1="-32" y2="-32" x1="224" />
+ <line x2="224" y1="-216" y2="-32" x1="224" />
+ <line x2="96" y1="-224" y2="-192" x1="256" />
+ <line x2="256" y1="-416" y2="-224" x1="256" />
+ <line x2="256" y1="-448" y2="-416" x1="96" />
+ <line x2="96" y1="-192" y2="-448" x1="96" />
+ <line x2="96" y1="-160" y2="-160" x1="128" />
+ <line x2="128" y1="-200" y2="-160" x1="128" />
+ </blockdef>
+ <blockdef name="vcc">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-64" x1="64" />
+ <line x2="64" y1="0" y2="-32" x1="64" />
+ <line x2="32" y1="-64" y2="-64" x1="96" />
+ </blockdef>
+ <blockdef name="sev_seg_disp">
+ <timestamp>2012-2-14T0:35:26</timestamp>
+ <rect width="256" x="64" y="-768" height="768" />
+ <line x2="0" y1="-736" y2="-736" x1="64" />
+ <line x2="0" y1="-512" y2="-512" x1="64" />
+ <line x2="0" y1="-288" y2="-288" x1="64" />
+ <line x2="0" y1="-64" y2="-64" x1="64" />
+ <line x2="384" y1="-736" y2="-736" x1="320" />
+ <line x2="384" y1="-672" y2="-672" x1="320" />
+ <line x2="384" y1="-608" y2="-608" x1="320" />
+ <line x2="384" y1="-544" y2="-544" x1="320" />
+ <line x2="384" y1="-480" y2="-480" x1="320" />
+ <line x2="384" y1="-416" y2="-416" x1="320" />
+ <line x2="384" y1="-352" y2="-352" x1="320" />
+ <line x2="384" y1="-288" y2="-288" x1="320" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </blockdef>
+ <block symbolname="Divide" name="XLXI_1">
+ <blockpin signalname="A" name="b3" />
+ <blockpin signalname="D" name="b0" />
+ <blockpin signalname="C" name="b1" />
+ <blockpin signalname="B" name="b2" />
+ <blockpin signalname="XLXN_36" name="out0" />
+ <blockpin signalname="XLXN_35" name="out1" />
+ <blockpin signalname="XLXN_34" name="out2" />
+ <blockpin signalname="XLXN_33" name="out3" />
+ </block>
+ <block symbolname="Modulo" name="XLXI_2">
+ <blockpin signalname="D" name="b0" />
+ <blockpin signalname="A" name="b3" />
+ <blockpin signalname="B" name="b2" />
+ <blockpin signalname="C" name="b1" />
+ <blockpin signalname="XLXN_41" name="out3" />
+ <blockpin signalname="XLXN_39" name="out2" />
+ <blockpin signalname="XLXN_38" name="out1" />
+ <blockpin signalname="XLXN_37" name="out0" />
+ </block>
+ <block symbolname="Negate" name="XLXI_3">
+ <blockpin signalname="D" name="b0" />
+ <blockpin signalname="B" name="b2" />
+ <blockpin signalname="A" name="b3" />
+ <blockpin signalname="C" name="b1" />
+ <blockpin signalname="XLXN_44" name="out2" />
+ <blockpin signalname="XLXN_43" name="out1" />
+ <blockpin signalname="XLXN_42" name="out0" />
+ <blockpin signalname="XLXN_45" name="out3" />
+ </block>
+ <block symbolname="m4_1e" name="XLXI_4">
+ <blockpin signalname="A" name="D0" />
+ <blockpin signalname="XLXN_45" name="D1" />
+ <blockpin signalname="XLXN_33" name="D2" />
+ <blockpin signalname="XLXN_41" name="D3" />
+ <blockpin signalname="XLXN_12" name="E" />
+ <blockpin signalname="S0" name="S0" />
+ <blockpin signalname="S1" name="S1" />
+ <blockpin signalname="XLXN_22" name="O" />
+ </block>
+ <block symbolname="m4_1e" name="XLXI_5">
+ <blockpin signalname="B" name="D0" />
+ <blockpin signalname="XLXN_44" name="D1" />
+ <blockpin signalname="XLXN_34" name="D2" />
+ <blockpin signalname="XLXN_39" name="D3" />
+ <blockpin signalname="XLXN_12" name="E" />
+ <blockpin signalname="S0" name="S0" />
+ <blockpin signalname="S1" name="S1" />
+ <blockpin signalname="XLXN_23" name="O" />
+ </block>
+ <block symbolname="m4_1e" name="XLXI_6">
+ <blockpin signalname="C" name="D0" />
+ <blockpin signalname="XLXN_43" name="D1" />
+ <blockpin signalname="XLXN_35" name="D2" />
+ <blockpin signalname="XLXN_38" name="D3" />
+ <blockpin signalname="XLXN_12" name="E" />
+ <blockpin signalname="S0" name="S0" />
+ <blockpin signalname="S1" name="S1" />
+ <blockpin signalname="XLXN_24" name="O" />
+ </block>
+ <block symbolname="m4_1e" name="XLXI_7">
+ <blockpin signalname="D" name="D0" />
+ <blockpin signalname="XLXN_42" name="D1" />
+ <blockpin signalname="XLXN_36" name="D2" />
+ <blockpin signalname="XLXN_37" name="D3" />
+ <blockpin signalname="XLXN_12" name="E" />
+ <blockpin signalname="S0" name="S0" />
+ <blockpin signalname="S1" name="S1" />
+ <blockpin signalname="XLXN_25" name="O" />
+ </block>
+ <block symbolname="vcc" name="XLXI_8">
+ <blockpin signalname="XLXN_12" name="P" />
+ </block>
+ <block symbolname="sev_seg_disp" name="XLXI_9">
+ <blockpin signalname="XLXN_22" name="A" />
+ <blockpin signalname="XLXN_23" name="B" />
+ <blockpin signalname="XLXN_24" name="C" />
+ <blockpin signalname="XLXN_25" name="D" />
+ <blockpin signalname="a_out" name="a_out" />
+ <blockpin signalname="b_out" name="b_out" />
+ <blockpin signalname="c_out" name="c_out" />
+ <blockpin signalname="d_out" name="d_out" />
+ <blockpin signalname="e_out" name="e_out" />
+ <blockpin signalname="f_out" name="f_out" />
+ <blockpin signalname="g_out" name="g_out" />
+ <blockpin signalname="sign" name="sign" />
+ <blockpin signalname="AN0" name="AN0" />
+ <blockpin signalname="AN1" name="AN1" />
+ <blockpin signalname="AN2" name="AN2" />
+ <blockpin signalname="AN3" name="AN3" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <instance x="816" y="864" name="XLXI_1" orien="R0">
+ </instance>
+ <instance x="800" y="1344" name="XLXI_2" orien="R0">
+ </instance>
+ <instance x="800" y="1776" name="XLXI_3" orien="R0">
+ </instance>
+ <instance x="1888" y="720" name="XLXI_4" orien="R0" />
+ <instance x="1888" y="1200" name="XLXI_5" orien="R0" />
+ <instance x="1872" y="1664" name="XLXI_6" orien="R0" />
+ <instance x="1856" y="2160" name="XLXI_7" orien="R0" />
+ <branch name="A">
+ <wire x2="496" y1="176" y2="176" x1="240" />
+ <wire x2="496" y1="176" y2="640" x1="496" />
+ <wire x2="496" y1="640" y2="1184" x1="496" />
+ <wire x2="496" y1="1184" y2="1680" x1="496" />
+ <wire x2="800" y1="1680" y2="1680" x1="496" />
+ <wire x2="800" y1="1184" y2="1184" x1="496" />
+ <wire x2="816" y1="640" y2="640" x1="496" />
+ <wire x2="1184" y1="176" y2="176" x1="496" />
+ <wire x2="1184" y1="176" y2="304" x1="1184" />
+ <wire x2="1888" y1="304" y2="304" x1="1184" />
+ </branch>
+ <branch name="B">
+ <wire x2="640" y1="256" y2="256" x1="240" />
+ <wire x2="640" y1="256" y2="832" x1="640" />
+ <wire x2="640" y1="832" y2="1248" x1="640" />
+ <wire x2="640" y1="1248" y2="1616" x1="640" />
+ <wire x2="800" y1="1616" y2="1616" x1="640" />
+ <wire x2="800" y1="1248" y2="1248" x1="640" />
+ <wire x2="816" y1="832" y2="832" x1="640" />
+ <wire x2="1264" y1="256" y2="256" x1="640" />
+ <wire x2="1264" y1="256" y2="784" x1="1264" />
+ <wire x2="1888" y1="784" y2="784" x1="1264" />
+ </branch>
+ <branch name="C">
+ <wire x2="624" y1="352" y2="352" x1="240" />
+ <wire x2="624" y1="352" y2="768" x1="624" />
+ <wire x2="624" y1="768" y2="1312" x1="624" />
+ <wire x2="624" y1="1312" y2="1744" x1="624" />
+ <wire x2="800" y1="1744" y2="1744" x1="624" />
+ <wire x2="800" y1="1312" y2="1312" x1="624" />
+ <wire x2="816" y1="768" y2="768" x1="624" />
+ <wire x2="1248" y1="352" y2="352" x1="624" />
+ <wire x2="1248" y1="352" y2="1248" x1="1248" />
+ <wire x2="1872" y1="1248" y2="1248" x1="1248" />
+ </branch>
+ <iomarker fontsize="28" x="240" y="176" name="A" orien="R180" />
+ <iomarker fontsize="28" x="240" y="256" name="B" orien="R180" />
+ <iomarker fontsize="28" x="240" y="352" name="C" orien="R180" />
+ <iomarker fontsize="28" x="240" y="480" name="D" orien="R180" />
+ <instance x="1680" y="128" name="XLXI_8" orien="R0" />
+ <branch name="XLXN_12">
+ <wire x2="1744" y1="128" y2="688" x1="1744" />
+ <wire x2="1744" y1="688" y2="1168" x1="1744" />
+ <wire x2="1744" y1="1168" y2="1632" x1="1744" />
+ <wire x2="1744" y1="1632" y2="2128" x1="1744" />
+ <wire x2="1856" y1="2128" y2="2128" x1="1744" />
+ <wire x2="1872" y1="1632" y2="1632" x1="1744" />
+ <wire x2="1888" y1="1168" y2="1168" x1="1744" />
+ <wire x2="1888" y1="688" y2="688" x1="1744" />
+ </branch>
+ <branch name="S1">
+ <wire x2="1744" y1="2272" y2="2512" x1="1744" />
+ <wire x2="1808" y1="2272" y2="2272" x1="1744" />
+ <wire x2="1888" y1="624" y2="624" x1="1808" />
+ <wire x2="1808" y1="624" y2="1104" x1="1808" />
+ <wire x2="1888" y1="1104" y2="1104" x1="1808" />
+ <wire x2="1808" y1="1104" y2="1568" x1="1808" />
+ <wire x2="1872" y1="1568" y2="1568" x1="1808" />
+ <wire x2="1808" y1="1568" y2="2064" x1="1808" />
+ <wire x2="1808" y1="2064" y2="2272" x1="1808" />
+ <wire x2="1856" y1="2064" y2="2064" x1="1808" />
+ </branch>
+ <branch name="S0">
+ <wire x2="1888" y1="560" y2="560" x1="1664" />
+ <wire x2="1664" y1="560" y2="1040" x1="1664" />
+ <wire x2="1888" y1="1040" y2="1040" x1="1664" />
+ <wire x2="1664" y1="1040" y2="1504" x1="1664" />
+ <wire x2="1872" y1="1504" y2="1504" x1="1664" />
+ <wire x2="1664" y1="1504" y2="2000" x1="1664" />
+ <wire x2="1664" y1="2000" y2="2512" x1="1664" />
+ <wire x2="1856" y1="2000" y2="2000" x1="1664" />
+ </branch>
+ <iomarker fontsize="28" x="1664" y="2512" name="S0" orien="R90" />
+ <iomarker fontsize="28" x="1744" y="2512" name="S1" orien="R90" />
+ <instance x="2656" y="1392" name="XLXI_9" orien="R0">
+ </instance>
+ <branch name="XLXN_22">
+ <wire x2="2432" y1="400" y2="400" x1="2208" />
+ <wire x2="2432" y1="400" y2="656" x1="2432" />
+ <wire x2="2656" y1="656" y2="656" x1="2432" />
+ </branch>
+ <branch name="XLXN_23">
+ <wire x2="2656" y1="880" y2="880" x1="2208" />
+ </branch>
+ <branch name="XLXN_24">
+ <wire x2="2416" y1="1344" y2="1344" x1="2192" />
+ <wire x2="2416" y1="1104" y2="1344" x1="2416" />
+ <wire x2="2656" y1="1104" y2="1104" x1="2416" />
+ </branch>
+ <branch name="XLXN_25">
+ <wire x2="2432" y1="1840" y2="1840" x1="2176" />
+ <wire x2="2432" y1="1328" y2="1840" x1="2432" />
+ <wire x2="2656" y1="1328" y2="1328" x1="2432" />
+ </branch>
+ <branch name="XLXN_33">
+ <wire x2="1520" y1="832" y2="832" x1="1200" />
+ <wire x2="1520" y1="432" y2="832" x1="1520" />
+ <wire x2="1888" y1="432" y2="432" x1="1520" />
+ </branch>
+ <branch name="XLXN_34">
+ <wire x2="1504" y1="768" y2="768" x1="1200" />
+ <wire x2="1504" y1="768" y2="912" x1="1504" />
+ <wire x2="1888" y1="912" y2="912" x1="1504" />
+ </branch>
+ <branch name="XLXN_35">
+ <wire x2="1488" y1="704" y2="704" x1="1200" />
+ <wire x2="1488" y1="704" y2="1376" x1="1488" />
+ <wire x2="1872" y1="1376" y2="1376" x1="1488" />
+ </branch>
+ <branch name="XLXN_36">
+ <wire x2="1472" y1="640" y2="640" x1="1200" />
+ <wire x2="1472" y1="640" y2="1872" x1="1472" />
+ <wire x2="1856" y1="1872" y2="1872" x1="1472" />
+ </branch>
+ <branch name="XLXN_37">
+ <wire x2="1504" y1="1312" y2="1312" x1="1184" />
+ <wire x2="1504" y1="1312" y2="1936" x1="1504" />
+ <wire x2="1856" y1="1936" y2="1936" x1="1504" />
+ </branch>
+ <branch name="XLXN_38">
+ <wire x2="1200" y1="1248" y2="1248" x1="1184" />
+ <wire x2="1200" y1="1248" y2="1440" x1="1200" />
+ <wire x2="1872" y1="1440" y2="1440" x1="1200" />
+ </branch>
+ <branch name="XLXN_39">
+ <wire x2="1504" y1="1184" y2="1184" x1="1184" />
+ <wire x2="1504" y1="976" y2="1184" x1="1504" />
+ <wire x2="1888" y1="976" y2="976" x1="1504" />
+ </branch>
+ <branch name="XLXN_41">
+ <wire x2="1552" y1="1120" y2="1120" x1="1184" />
+ <wire x2="1552" y1="496" y2="1120" x1="1552" />
+ <wire x2="1888" y1="496" y2="496" x1="1552" />
+ </branch>
+ <branch name="a_out">
+ <wire x2="3072" y1="656" y2="656" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="656" name="a_out" orien="R0" />
+ <branch name="b_out">
+ <wire x2="3072" y1="720" y2="720" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="720" name="b_out" orien="R0" />
+ <branch name="c_out">
+ <wire x2="3072" y1="784" y2="784" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="784" name="c_out" orien="R0" />
+ <branch name="d_out">
+ <wire x2="3072" y1="848" y2="848" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="848" name="d_out" orien="R0" />
+ <branch name="e_out">
+ <wire x2="3072" y1="912" y2="912" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="912" name="e_out" orien="R0" />
+ <branch name="f_out">
+ <wire x2="3072" y1="976" y2="976" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="976" name="f_out" orien="R0" />
+ <branch name="g_out">
+ <wire x2="3072" y1="1040" y2="1040" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="1040" name="g_out" orien="R0" />
+ <branch name="sign">
+ <wire x2="3072" y1="1104" y2="1104" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="1104" name="sign" orien="R0" />
+ <branch name="AN0">
+ <wire x2="3072" y1="1168" y2="1168" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="1168" name="AN0" orien="R0" />
+ <branch name="AN1">
+ <wire x2="3072" y1="1232" y2="1232" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="1232" name="AN1" orien="R0" />
+ <branch name="AN2">
+ <wire x2="3072" y1="1296" y2="1296" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="1296" name="AN2" orien="R0" />
+ <branch name="AN3">
+ <wire x2="3072" y1="1360" y2="1360" x1="3040" />
+ </branch>
+ <iomarker fontsize="28" x="3072" y="1360" name="AN3" orien="R0" />
+ <branch name="D">
+ <wire x2="480" y1="480" y2="480" x1="240" />
+ <wire x2="480" y1="480" y2="704" x1="480" />
+ <wire x2="480" y1="704" y2="1120" x1="480" />
+ <wire x2="480" y1="1120" y2="1552" x1="480" />
+ <wire x2="800" y1="1552" y2="1552" x1="480" />
+ <wire x2="800" y1="1120" y2="1120" x1="480" />
+ <wire x2="816" y1="704" y2="704" x1="480" />
+ <wire x2="1216" y1="480" y2="480" x1="480" />
+ <wire x2="1216" y1="480" y2="1744" x1="1216" />
+ <wire x2="1856" y1="1744" y2="1744" x1="1216" />
+ </branch>
+ <branch name="XLXN_42">
+ <wire x2="1520" y1="1680" y2="1680" x1="1184" />
+ <wire x2="1520" y1="1680" y2="1808" x1="1520" />
+ <wire x2="1856" y1="1808" y2="1808" x1="1520" />
+ </branch>
+ <branch name="XLXN_43">
+ <wire x2="1520" y1="1616" y2="1616" x1="1184" />
+ <wire x2="1520" y1="1312" y2="1616" x1="1520" />
+ <wire x2="1872" y1="1312" y2="1312" x1="1520" />
+ </branch>
+ <branch name="XLXN_44">
+ <wire x2="1536" y1="1552" y2="1552" x1="1184" />
+ <wire x2="1536" y1="848" y2="1552" x1="1536" />
+ <wire x2="1888" y1="848" y2="848" x1="1536" />
+ </branch>
+ <branch name="XLXN_45">
+ <wire x2="1200" y1="1744" y2="1744" x1="1184" />
+ <wire x2="1200" y1="1696" y2="1744" x1="1200" />
+ <wire x2="1344" y1="1696" y2="1696" x1="1200" />
+ <wire x2="1344" y1="368" y2="1696" x1="1344" />
+ <wire x2="1888" y1="368" y2="368" x1="1344" />
+ </branch>
+ </sheet>
</drawing> \ No newline at end of file
diff --git a/ALU.schlog b/ALU.schlog
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/ALU.schlog
diff --git a/ALU.sym b/ALU.sym
new file mode 100755
index 0000000..3887c25
--- /dev/null
+++ b/ALU.sym
@@ -0,0 +1,63 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="ALU">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-16T23:42:30</timestamp>
+ <pin polarity="Input" x="0" y="-736" name="A" />
+ <pin polarity="Input" x="0" y="-608" name="B" />
+ <pin polarity="Input" x="0" y="-480" name="C" />
+ <pin polarity="Input" x="0" y="-352" name="D" />
+ <pin polarity="Input" x="0" y="-224" name="S1" />
+ <pin polarity="Input" x="0" y="-96" name="S0" />
+ <pin polarity="Output" x="384" y="-736" name="a_out" />
+ <pin polarity="Output" x="384" y="-672" name="b_out" />
+ <pin polarity="Output" x="384" y="-608" name="c_out" />
+ <pin polarity="Output" x="384" y="-544" name="d_out" />
+ <pin polarity="Output" x="384" y="-480" name="e_out" />
+ <pin polarity="Output" x="384" y="-416" name="f_out" />
+ <pin polarity="Output" x="384" y="-352" name="g_out" />
+ <pin polarity="Output" x="384" y="-288" name="sign" />
+ <pin polarity="Output" x="384" y="-224" name="AN0" />
+ <pin polarity="Output" x="384" y="-160" name="AN1" />
+ <pin polarity="Output" x="384" y="-96" name="AN2" />
+ <pin polarity="Output" x="384" y="-32" name="AN3" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-776" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-736" type="pin A" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-608" type="pin B" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-480" type="pin C" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-352" type="pin D" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin S1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin S0" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-736" type="pin a_out" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-672" type="pin b_out" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-608" type="pin c_out" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-544" type="pin d_out" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-480" type="pin e_out" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-416" type="pin f_out" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-352" type="pin g_out" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-288" type="pin sign" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin AN0" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-160" type="pin AN1" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-96" type="pin AN2" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin AN3" />
+ <rect width="256" x="64" y="-768" height="768" />
+ <line x2="0" y1="-736" y2="-736" x1="64" />
+ <line x2="0" y1="-608" y2="-608" x1="64" />
+ <line x2="0" y1="-480" y2="-480" x1="64" />
+ <line x2="0" y1="-352" y2="-352" x1="64" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="384" y1="-736" y2="-736" x1="320" />
+ <line x2="384" y1="-672" y2="-672" x1="320" />
+ <line x2="384" y1="-608" y2="-608" x1="320" />
+ <line x2="384" y1="-544" y2="-544" x1="320" />
+ <line x2="384" y1="-480" y2="-480" x1="320" />
+ <line x2="384" y1="-416" y2="-416" x1="320" />
+ <line x2="384" y1="-352" y2="-352" x1="320" />
+ <line x2="384" y1="-288" y2="-288" x1="320" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </graph>
+</symbol>
diff --git a/ALU.syr b/ALU.syr
index 35acf74..c498b8a 100755
--- a/ALU.syr
+++ b/ALU.syr
@@ -4,13 +4,13 @@ Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
Total REAL time to Xst completion: 0.00 secs
-Total CPU time to Xst completion: 0.12 secs
+Total CPU time to Xst completion: 0.11 secs
--> Parameter xsthdpdir set to xst
Total REAL time to Xst completion: 0.00 secs
-Total CPU time to Xst completion: 0.13 secs
+Total CPU time to Xst completion: 0.11 secs
--> Reading design: ALU.prj
@@ -105,48 +105,48 @@ Slice Utilization Ratio Delta : 5
=========================================================================
* HDL Parsing *
=========================================================================
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Negate_3.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Negate_3.vf" into library work
Parsing module <Negate_3>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Negate_2.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Negate_2.vf" into library work
Parsing module <Negate_2>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Negate_1.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Negate_1.vf" into library work
Parsing module <Negate_1>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Negate_0.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Negate_0.vf" into library work
Parsing module <Negate_0>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Modulo_3.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Modulo_3.vf" into library work
Parsing module <Modulo_3>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Modulo_1.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Modulo_1.vf" into library work
Parsing module <Modulo_1>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Modulo_0.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Modulo_0.vf" into library work
Parsing module <Modulo_0>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Divide_3.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Divide_3.vf" into library work
Parsing module <Divide_3>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Divide_2.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Divide_2.vf" into library work
Parsing module <Divide_2>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Divide_1.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Divide_1.vf" into library work
Parsing module <Divide_1>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Divide_0.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Divide_0.vf" into library work
Parsing module <Divide_0>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\sev_seg_disp.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\sev_seg_disp.vf" into library work
Parsing module <sev_seg_disp>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Negate.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Negate.vf" into library work
Parsing module <Negate_3_MUSER_Negate>.
Parsing module <Negate_1_MUSER_Negate>.
Parsing module <Negate_2_MUSER_Negate>.
Parsing module <Negate_0_MUSER_Negate>.
Parsing module <Negate>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Modulo.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Modulo.vf" into library work
Parsing module <Modulo_0_MUSER_Modulo>.
Parsing module <Modulo_1_MUSER_Modulo>.
Parsing module <Modulo_3_MUSER_Modulo>.
Parsing module <Modulo>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\Divide.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\Divide.vf" into library work
Parsing module <Divide_1_MUSER_Divide>.
Parsing module <Divide_2_MUSER_Divide>.
Parsing module <Divide_3_MUSER_Divide>.
Parsing module <Divide_0_MUSER_Divide>.
Parsing module <Divide>.
-Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\lab1\ALU.vf" into library work
+Analyzing Verilog file "\\ad\eng\users\m\g\mgabed\My Documents\ec311\ec311-lab1\ALU.vf" into library work
Parsing module <M4_1E_HXILINX_ALU>.
Parsing module <sev_seg_disp_MUSER_ALU>.
Parsing module <Negate_3_MUSER_ALU>.
@@ -187,28 +187,22 @@ Elaborating module <INV>.
Elaborating module <Divide_2_MUSER_ALU>.
-Elaborating module <AND3>.
-
Elaborating module <Divide_3_MUSER_ALU>.
-Elaborating module <NOR3>.
-
Elaborating module <Divide_0_MUSER_ALU>.
+Elaborating module <AND3>.
+
Elaborating module <Modulo_MUSER_ALU>.
Elaborating module <Modulo_3_MUSER_ALU>.
Elaborating module <Modulo_1_MUSER_ALU>.
-Elaborating module <NAND4>.
+Elaborating module <OR5>.
Elaborating module <Modulo_0_MUSER_ALU>.
-Elaborating module <XNOR2>.
-
-Elaborating module <NAND3>.
-
Elaborating module <Negate_MUSER_ALU>.
Elaborating module <Negate_0_MUSER_ALU>.
@@ -217,9 +211,9 @@ Elaborating module <Negate_1_MUSER_ALU>.
Elaborating module <Negate_2_MUSER_ALU>.
-Elaborating module <Negate_3_MUSER_ALU>.
+Elaborating module <OR4>.
-Elaborating module <NAND2>.
+Elaborating module <Negate_3_MUSER_ALU>.
Elaborating module <M4_1E_HXILINX_ALU>.
@@ -231,9 +225,7 @@ Elaborating module <BUF>.
Elaborating module <GND>.
-Elaborating module <OR5>.
-
-Elaborating module <OR4>.
+Elaborating module <XNOR2>.
Elaborating module <XOR2>.
@@ -242,7 +234,7 @@ Elaborating module <XOR2>.
=========================================================================
Synthesizing Unit <ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Set property "HU_SET = XLXI_4_0" for instance <XLXI_4>.
Set property "HU_SET = XLXI_5_1" for instance <XLXI_5>.
Set property "HU_SET = XLXI_6_2" for instance <XLXI_6>.
@@ -252,100 +244,100 @@ Synthesizing Unit <ALU>.
Unit <ALU> synthesized.
Synthesizing Unit <Divide_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Divide_MUSER_ALU> synthesized.
Synthesizing Unit <Divide_1_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Divide_1_MUSER_ALU> synthesized.
Synthesizing Unit <Divide_2_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Divide_2_MUSER_ALU> synthesized.
Synthesizing Unit <Divide_3_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Divide_3_MUSER_ALU> synthesized.
Synthesizing Unit <Divide_0_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
WARNING:Xst:647 - Input <b2> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Summary:
no macro.
Unit <Divide_0_MUSER_ALU> synthesized.
Synthesizing Unit <Modulo_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Modulo_MUSER_ALU> synthesized.
Synthesizing Unit <Modulo_3_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Modulo_3_MUSER_ALU> synthesized.
Synthesizing Unit <Modulo_1_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Modulo_1_MUSER_ALU> synthesized.
Synthesizing Unit <Modulo_0_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
WARNING:Xst:647 - Input <b3> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Summary:
no macro.
Unit <Modulo_0_MUSER_ALU> synthesized.
Synthesizing Unit <Negate_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Negate_MUSER_ALU> synthesized.
Synthesizing Unit <Negate_0_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Negate_0_MUSER_ALU> synthesized.
Synthesizing Unit <Negate_1_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Negate_1_MUSER_ALU> synthesized.
Synthesizing Unit <Negate_2_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Negate_2_MUSER_ALU> synthesized.
Synthesizing Unit <Negate_3_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <Negate_3_MUSER_ALU> synthesized.
Synthesizing Unit <M4_1E_HXILINX_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Found 1-bit 4-to-1 multiplexer for signal <S1_D3_Mux_0_o> created at line 44.
Summary:
inferred 2 Multiplexer(s).
Unit <M4_1E_HXILINX_ALU> synthesized.
Synthesizing Unit <sev_seg_disp_MUSER_ALU>.
- Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/lab1/alu.vf".
+ Related source file is "//ad/eng/users/m/g/mgabed/my documents/ec311/ec311-lab1/alu.vf".
Summary:
no macro.
Unit <sev_seg_disp_MUSER_ALU> synthesized.
@@ -381,6 +373,8 @@ Macro Statistics
Optimizing unit <ALU> ...
+Optimizing unit <Modulo_0_MUSER_ALU> ...
+
Optimizing unit <sev_seg_disp_MUSER_ALU> ...
Optimizing unit <M4_1E_HXILINX_ALU> ...
@@ -416,29 +410,24 @@ Top Level Output File Name : ALU.ngc
Primitive and Black Box Usage:
------------------------------
-# BELS : 125
-# AND2 : 22
+# BELS : 138
+# AND2 : 28
# AND3 : 21
-# AND4 : 2
+# AND4 : 3
# BUF : 4
# GND : 1
-# INV : 45
+# INV : 53
# LUT6 : 4
-# OR2 : 7
-# OR3 : 10
-# OR4 : 4
-# OR5 : 1
+# OR2 : 5
+# OR3 : 9
+# OR4 : 5
+# OR5 : 2
# VCC : 1
-# XNOR2 : 2
+# XNOR2 : 1
# XOR2 : 1
# IO Buffers : 18
# IBUF : 6
# OBUF : 12
-# Logical : 4
-# NAND2 : 1
-# NAND3 : 1
-# NAND4 : 1
-# NOR3 : 1
Device utilization summary:
---------------------------
@@ -447,14 +436,14 @@ Selected Device : 6slx16csg324-3
Slice Logic Utilization:
- Number of Slice LUTs: 49 out of 9112 0%
- Number used as Logic: 49 out of 9112 0%
+ Number of Slice LUTs: 57 out of 9112 0%
+ Number used as Logic: 57 out of 9112 0%
Slice Logic Distribution:
- Number of LUT Flip Flop pairs used: 49
- Number with an unused Flip Flop: 49 out of 49 100%
- Number with an unused LUT: 0 out of 49 0%
- Number of fully used LUT-FF pairs: 0 out of 49 0%
+ Number of LUT Flip Flop pairs used: 57
+ Number with an unused Flip Flop: 57 out of 57 100%
+ Number with an unused LUT: 0 out of 57 0%
+ Number of fully used LUT-FF pairs: 0 out of 57 0%
Number of unique control sets: 0
IO Utilization:
@@ -494,7 +483,7 @@ Speed Grade: -3
Minimum period: No path found
Minimum input arrival time before clock: No path found
Maximum output required time after clock: No path found
- Maximum combinational path delay: 16.544ns
+ Maximum combinational path delay: 16.900ns
Timing Details:
---------------
@@ -502,33 +491,33 @@ All values displayed in nanoseconds (ns)
=========================================================================
Timing constraint: Default path analysis
- Total number of paths / destination ports: 1495 / 8
+ Total number of paths / destination ports: 1539 / 8
-------------------------------------------------------------------------
-Delay: 16.544ns (Levels of Logic = 13)
- Source: D (PAD)
+Delay: 16.900ns (Levels of Logic = 13)
+ Source: C (PAD)
Destination: d_out (PAD)
- Data Path: D to d_out
+ Data Path: C to d_out
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
- IBUF:I->O 24 1.222 1.172 D_IBUF (D_IBUF)
- INV:I->O 1 0.568 0.944 XLXI_1/XLXI_8/XLXI_9 (XLXI_1/XLXI_8/XLXN_17)
- AND2:I0->O 1 0.203 0.924 XLXI_1/XLXI_8/XLXI_3 (XLXI_1/XLXI_8/XLXN_1)
- OR3:I1->O 1 0.223 0.944 XLXI_1/XLXI_8/XLXI_2 (XLXI_1/XLXI_8/XLXN_2)
- AND2:I0->O 1 0.203 0.924 XLXI_1/XLXI_8/XLXI_4 (XLXI_1/XLXI_8/XLXN_4)
- OR2:I1->O 1 0.223 0.580 XLXI_1/XLXI_8/XLXI_5 (XLXN_35)
- begin scope: 'XLXI_6:D2'
- LUT6:I5->O 9 0.205 0.829 Mmux_O11 (O)
- end scope: 'XLXI_6:O'
- INV:I->O 8 0.568 1.167 XLXI_9/XLXI_39 (XLXI_9/C_BAR)
- AND3:I0->O 1 0.203 0.944 XLXI_9/XLXI_51 (XLXI_9/XLXN_114)
- OR4:I0->O 1 0.203 0.579 XLXI_9/XLXI_52 (XLXI_9/XLXN_156)
+ IBUF:I->O 26 1.222 1.206 C_IBUF (C_IBUF)
+ INV:I->O 1 0.568 0.924 XLXI_2/XLXI_4/XLXI_9 (XLXI_2/XLXI_4/XLXN_15)
+ AND2:I1->O 1 0.223 0.944 XLXI_2/XLXI_4/XLXI_16 (XLXI_2/XLXI_4/XLXN_14)
+ OR2:I0->O 1 0.203 0.944 XLXI_2/XLXI_4/XLXI_14 (XLXI_2/XLXI_4/XLXN_1)
+ AND2:I0->O 1 0.203 0.944 XLXI_2/XLXI_4/XLXI_2 (XLXI_2/XLXI_4/XLXN_4)
+ OR2:I0->O 1 0.203 0.924 XLXI_2/XLXI_4/XLXI_4 (XLXN_37)
+ begin scope: 'XLXI_7:D3'
+ LUT6:I1->O 6 0.203 0.744 Mmux_O11 (O)
+ end scope: 'XLXI_7:O'
+ INV:I->O 9 0.568 1.194 XLXI_9/XLXI_40 (XLXI_9/D_BAR)
+ AND2:I0->O 2 0.203 0.845 XLXI_9/XLXI_48 (XLXI_9/XLXN_125)
+ OR4:I3->O 1 0.339 0.579 XLXI_9/XLXI_52 (XLXI_9/XLXN_156)
INV:I->O 1 0.568 0.579 XLXI_9/XLXI_69 (d_out_OBUF)
OBUF:I->O 2.571 d_out_OBUF (d_out)
----------------------------------------
- Total 16.544ns (6.960ns logic, 9.584ns route)
- (42.1% logic, 57.9% route)
+ Total 16.900ns (7.074ns logic, 9.826ns route)
+ (41.9% logic, 58.1% route)
=========================================================================
@@ -539,11 +528,11 @@ Cross Clock Domains Report:
Total REAL time to Xst completion: 8.00 secs
-Total CPU time to Xst completion: 7.97 secs
+Total CPU time to Xst completion: 7.36 secs
-->
-Total memory usage is 253940 kilobytes
+Total memory usage is 252544 kilobytes
Number of errors : 0 ( 0 filtered)
Number of warnings : 2 ( 0 filtered)
diff --git a/ALU.twr b/ALU.twr
index 75bdc43..8a0ecd1 100755
--- a/ALU.twr
+++ b/ALU.twr
@@ -34,65 +34,65 @@ Pad to Pad
---------------+---------------+---------+
Source Pad |Destination Pad| Delay |
---------------+---------------+---------+
-A |a_out | 11.660|
-A |b_out | 11.371|
-A |c_out | 11.727|
-A |d_out | 11.840|
-A |e_out | 11.906|
-A |f_out | 11.587|
-A |g_out | 11.574|
-A |sign | 11.406|
-B |a_out | 11.326|
-B |b_out | 10.895|
-B |c_out | 11.387|
-B |d_out | 11.931|
-B |e_out | 11.927|
-B |f_out | 11.342|
-B |g_out | 11.489|
-B |sign | 11.072|
-C |a_out | 11.426|
-C |b_out | 11.174|
-C |c_out | 11.560|
-C |d_out | 11.886|
-C |e_out | 11.818|
-C |f_out | 11.515|
-C |g_out | 11.620|
-C |sign | 10.949|
-D |a_out | 11.570|
-D |b_out | 11.214|
-D |c_out | 11.759|
-D |d_out | 12.390|
-D |e_out | 12.386|
-D |f_out | 11.767|
-D |g_out | 11.948|
-D |sign | 11.316|
-S0 |a_out | 11.110|
-S0 |b_out | 10.858|
-S0 |c_out | 11.214|
-S0 |d_out | 11.410|
-S0 |e_out | 11.406|
-S0 |f_out | 11.074|
-S0 |g_out | 11.014|
-S0 |sign | 10.662|
-S1 |a_out | 10.677|
-S1 |b_out | 10.260|
-S1 |c_out | 10.664|
-S1 |d_out | 11.023|
-S1 |e_out | 11.019|
-S1 |f_out | 10.545|
-S1 |g_out | 10.581|
-S1 |sign | 10.423|
+A |a_out | 12.121|
+A |b_out | 12.114|
+A |c_out | 12.193|
+A |d_out | 12.977|
+A |e_out | 12.603|
+A |f_out | 12.193|
+A |g_out | 12.222|
+A |sign | 11.976|
+B |a_out | 11.205|
+B |b_out | 11.242|
+B |c_out | 11.297|
+B |d_out | 11.960|
+B |e_out | 11.586|
+B |f_out | 11.229|
+B |g_out | 11.350|
+B |sign | 11.012|
+C |a_out | 11.203|
+C |b_out | 10.960|
+C |c_out | 11.269|
+C |d_out | 12.059|
+C |e_out | 11.685|
+C |f_out | 10.983|
+C |g_out | 11.068|
+C |sign | 10.766|
+D |a_out | 11.544|
+D |b_out | 11.218|
+D |c_out | 11.615|
+D |d_out | 12.400|
+D |e_out | 12.026|
+D |f_out | 11.410|
+D |g_out | 11.394|
+D |sign | 11.193|
+S0 |a_out | 10.816|
+S0 |b_out | 10.961|
+S0 |c_out | 10.908|
+S0 |d_out | 11.499|
+S0 |e_out | 11.125|
+S0 |f_out | 10.838|
+S0 |g_out | 11.069|
+S0 |sign | 10.498|
+S1 |a_out | 10.737|
+S1 |b_out | 10.588|
+S1 |c_out | 10.829|
+S1 |d_out | 11.247|
+S1 |e_out | 10.873|
+S1 |f_out | 10.465|
+S1 |g_out | 10.696|
+S1 |sign | 10.233|
---------------+---------------+---------+
-Analysis completed Wed Feb 15 15:16:31 2012
+Analysis completed Thu Feb 16 19:53:32 2012
--------------------------------------------------------------------------------
Trace Settings:
-------------------------
Trace Settings
-Peak Memory Usage: 217 MB
+Peak Memory Usage: 230 MB
diff --git a/ALU.twx b/ALU.twx
index b395950..b90cdc4 100755
--- a/ALU.twx
+++ b/ALU.twx
@@ -332,7 +332,7 @@
<twReport><twHead anchorID="1"><twExecVer>Release 13.3 Trace (nt64)</twExecVer><twCopyright>Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.</twCopyright><twCmdLine>C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64\unwrapped\trce.exe -intstyle ise -v 3 -s 3
-n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf
-</twCmdLine><twDesign>ALU.ncd</twDesign><twDesignPath>ALU.ncd</twDesignPath><twPCF>ALU.pcf</twPCF><twPcfPath>ALU.pcf</twPcfPath><twDevInfo arch="spartan6" pkg="csg324"><twDevName>xc6slx16</twDevName><twDevRange>C</twDevRange><twSpeedGrade>-3</twSpeedGrade><twSpeedVer>PRODUCTION 1.20 2011-10-03</twSpeedVer><twQuadDly>1</twQuadDly></twDevInfo><twRptInfo twRptLvl="twVerbose" twReportMinPaths="true" dlyHyperLnks="t" ><twEndptLimit>3</twEndptLimit></twRptInfo><twEnvVar name="NONE" description="No environment variables were set" /></twHead><twInfo anchorID="2">INFO:Timing:2698 - No timing constraints found, doing default enumeration.</twInfo><twInfo anchorID="3">INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.</twInfo><twInfo anchorID="4">INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</twInfo><twBody><twVerboseRpt><twDataSheet anchorID="5" twNameLen="15"><twPad2PadList anchorID="6" twSrcWidth="2" twDestWidth="5"><twPad2Pad><twSrc>A</twSrc><twDest>a_out</twDest><twDel>11.660</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>b_out</twDest><twDel>11.371</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>c_out</twDest><twDel>11.727</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>d_out</twDest><twDel>11.840</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>e_out</twDest><twDel>11.906</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>f_out</twDest><twDel>11.587</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>g_out</twDest><twDel>11.574</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>sign</twDest><twDel>11.406</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>a_out</twDest><twDel>11.326</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>b_out</twDest><twDel>10.895</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>c_out</twDest><twDel>11.387</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>d_out</twDest><twDel>11.931</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>e_out</twDest><twDel>11.927</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>f_out</twDest><twDel>11.342</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>g_out</twDest><twDel>11.489</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>sign</twDest><twDel>11.072</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>a_out</twDest><twDel>11.426</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>b_out</twDest><twDel>11.174</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>c_out</twDest><twDel>11.560</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>d_out</twDest><twDel>11.886</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>e_out</twDest><twDel>11.818</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>f_out</twDest><twDel>11.515</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>g_out</twDest><twDel>11.620</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>sign</twDest><twDel>10.949</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>a_out</twDest><twDel>11.570</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>b_out</twDest><twDel>11.214</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>c_out</twDest><twDel>11.759</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>d_out</twDest><twDel>12.390</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>e_out</twDest><twDel>12.386</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>f_out</twDest><twDel>11.767</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>g_out</twDest><twDel>11.948</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>sign</twDest><twDel>11.316</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>a_out</twDest><twDel>11.110</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>b_out</twDest><twDel>10.858</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>c_out</twDest><twDel>11.214</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>d_out</twDest><twDel>11.410</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>e_out</twDest><twDel>11.406</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>f_out</twDest><twDel>11.074</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>g_out</twDest><twDel>11.014</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>sign</twDest><twDel>10.662</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>a_out</twDest><twDel>10.677</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>b_out</twDest><twDel>10.260</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>c_out</twDest><twDel>10.664</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>d_out</twDest><twDel>11.023</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>e_out</twDest><twDel>11.019</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>f_out</twDest><twDel>10.545</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>g_out</twDest><twDel>10.581</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>sign</twDest><twDel>10.423</twDel></twPad2Pad></twPad2PadList><twOffsetTables></twOffsetTables></twDataSheet></twVerboseRpt></twBody><twFoot><twTimestamp>Wed Feb 15 15:16:31 2012 </twTimestamp></twFoot><twClientInfo anchorID="7"><twClientName>Trace</twClientName><twAttrList><twAttrListItem><twName>Trace Settings</twName><twValue>
+</twCmdLine><twDesign>ALU.ncd</twDesign><twDesignPath>ALU.ncd</twDesignPath><twPCF>ALU.pcf</twPCF><twPcfPath>ALU.pcf</twPcfPath><twDevInfo arch="spartan6" pkg="csg324"><twDevName>xc6slx16</twDevName><twDevRange>C</twDevRange><twSpeedGrade>-3</twSpeedGrade><twSpeedVer>PRODUCTION 1.20 2011-10-03</twSpeedVer><twQuadDly>1</twQuadDly></twDevInfo><twRptInfo twRptLvl="twVerbose" twReportMinPaths="true" dlyHyperLnks="t" ><twEndptLimit>3</twEndptLimit></twRptInfo><twEnvVar name="NONE" description="No environment variables were set" /></twHead><twInfo anchorID="2">INFO:Timing:2698 - No timing constraints found, doing default enumeration.</twInfo><twInfo anchorID="3">INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.</twInfo><twInfo anchorID="4">INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</twInfo><twBody><twVerboseRpt><twDataSheet anchorID="5" twNameLen="15"><twPad2PadList anchorID="6" twSrcWidth="2" twDestWidth="5"><twPad2Pad><twSrc>A</twSrc><twDest>a_out</twDest><twDel>12.121</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>b_out</twDest><twDel>12.114</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>c_out</twDest><twDel>12.193</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>d_out</twDest><twDel>12.977</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>e_out</twDest><twDel>12.603</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>f_out</twDest><twDel>12.193</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>g_out</twDest><twDel>12.222</twDel></twPad2Pad><twPad2Pad><twSrc>A</twSrc><twDest>sign</twDest><twDel>11.976</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>a_out</twDest><twDel>11.205</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>b_out</twDest><twDel>11.242</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>c_out</twDest><twDel>11.297</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>d_out</twDest><twDel>11.960</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>e_out</twDest><twDel>11.586</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>f_out</twDest><twDel>11.229</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>g_out</twDest><twDel>11.350</twDel></twPad2Pad><twPad2Pad><twSrc>B</twSrc><twDest>sign</twDest><twDel>11.012</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>a_out</twDest><twDel>11.203</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>b_out</twDest><twDel>10.960</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>c_out</twDest><twDel>11.269</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>d_out</twDest><twDel>12.059</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>e_out</twDest><twDel>11.685</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>f_out</twDest><twDel>10.983</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>g_out</twDest><twDel>11.068</twDel></twPad2Pad><twPad2Pad><twSrc>C</twSrc><twDest>sign</twDest><twDel>10.766</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>a_out</twDest><twDel>11.544</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>b_out</twDest><twDel>11.218</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>c_out</twDest><twDel>11.615</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>d_out</twDest><twDel>12.400</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>e_out</twDest><twDel>12.026</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>f_out</twDest><twDel>11.410</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>g_out</twDest><twDel>11.394</twDel></twPad2Pad><twPad2Pad><twSrc>D</twSrc><twDest>sign</twDest><twDel>11.193</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>a_out</twDest><twDel>10.816</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>b_out</twDest><twDel>10.961</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>c_out</twDest><twDel>10.908</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>d_out</twDest><twDel>11.499</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>e_out</twDest><twDel>11.125</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>f_out</twDest><twDel>10.838</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>g_out</twDest><twDel>11.069</twDel></twPad2Pad><twPad2Pad><twSrc>S0</twSrc><twDest>sign</twDest><twDel>10.498</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>a_out</twDest><twDel>10.737</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>b_out</twDest><twDel>10.588</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>c_out</twDest><twDel>10.829</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>d_out</twDest><twDel>11.247</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>e_out</twDest><twDel>10.873</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>f_out</twDest><twDel>10.465</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>g_out</twDest><twDel>10.696</twDel></twPad2Pad><twPad2Pad><twSrc>S1</twSrc><twDest>sign</twDest><twDel>10.233</twDel></twPad2Pad></twPad2PadList><twOffsetTables></twOffsetTables></twDataSheet></twVerboseRpt></twBody><twFoot><twTimestamp>Thu Feb 16 19:53:32 2012 </twTimestamp></twFoot><twClientInfo anchorID="7"><twClientName>Trace</twClientName><twAttrList><twAttrListItem><twName>Trace Settings</twName><twValue>
-Peak Memory Usage: 217 MB
+Peak Memory Usage: 230 MB
</twValue></twAttrListItem></twAttrList></twClientInfo></twReport>
diff --git a/ALU.ucf b/ALU.ucf
index 20b494d..0393728 100755
--- a/ALU.ucf
+++ b/ALU.ucf
@@ -1,14 +1,13 @@
-# PlanAhead Generated physical constraints
-NET "A" LOC = C9;
+NET "A" LOC = C4;
NET "AN0" LOC = N16;
NET "AN1" LOC = N15;
NET "AN2" LOC = P18;
NET "AN3" LOC = P17;
NET "B" LOC = B8;
-NET "C" LOC = A8;
-NET "D" LOC = D9;
+NET "C" LOC = D9;
+NET "D" LOC = C9;
NET "S0" LOC = T9;
NET "S1" LOC = T10;
NET "a_out" LOC = T17;
diff --git a/ALU.unroutes b/ALU.unroutes
index 404a047..6cfcf65 100755
--- a/ALU.unroutes
+++ b/ALU.unroutes
@@ -1,7 +1,7 @@
Release 13.3 - par O.76xd (nt64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
-Wed Feb 15 15:16:23 2012
+Thu Feb 16 19:53:25 2012
All signals are completely routed.
diff --git a/ALU.vf b/ALU.vf
index a99f305..d4c14ec 100755
--- a/ALU.vf
+++ b/ALU.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : ALU.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:05
+// /___/ /\ Timestamp : 02/16/2012 19:52:33
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/ALU.vf" -w "X:/My Documents/ec311/lab1/ALU.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/ALU.vf" -w "X:/My Documents/ec311/ec311-lab1/ALU.sch"
//Design Name: ALU
//Device: spartan6
//Purpose:
@@ -282,17 +282,17 @@ module Negate_3_MUSER_ALU(b0,
output result;
wire XLXN_8;
- wire XLXN_9;
+ wire XLXN_10;
- OR3 XLXI_5 (.I0(b2),
- .I1(b1),
- .I2(b0),
- .O(XLXN_9));
- NAND2 XLXI_9 (.I0(XLXN_8),
- .I1(b3),
- .O(result));
- INV XLXI_12 (.I(XLXN_9),
+ OR3 XLXI_14 (.I0(b2),
+ .I1(b1),
+ .I2(b0),
.O(XLXN_8));
+ INV XLXI_15 (.I(b3),
+ .O(XLXN_10));
+ AND2 XLXI_16 (.I0(XLXN_8),
+ .I1(XLXN_10),
+ .O(result));
endmodule
`timescale 1ns / 1ps
@@ -350,33 +350,38 @@ module Negate_2_MUSER_ALU(b0,
input b3;
output result;
- wire XLXN_7;
- wire XLXN_9;
- wire XLXN_10;
- wire XLXN_12;
- wire XLXN_16;
- wire XLXN_17;
-
- AND2 XLXI_1 (.I0(XLXN_7),
- .I1(b3),
- .O(XLXN_9));
- AND3 XLXI_2 (.I0(b2),
- .I1(XLXN_16),
- .I2(XLXN_17),
- .O(XLXN_10));
- OR2 XLXI_3 (.I0(XLXN_10),
- .I1(XLXN_9),
+ wire XLXN_35;
+ wire XLXN_37;
+ wire XLXN_40;
+ wire XLXN_41;
+ wire XLXN_44;
+ wire XLXN_47;
+ wire XLXN_49;
+
+ OR4 XLXI_8 (.I0(XLXN_37),
+ .I1(XLXN_41),
+ .I2(XLXN_40),
+ .I3(XLXN_35),
.O(result));
- OR3 XLXI_4 (.I0(XLXN_12),
- .I1(b1),
- .I2(b0),
- .O(XLXN_7));
- INV XLXI_5 (.I(b2),
- .O(XLXN_12));
- INV XLXI_6 (.I(b1),
- .O(XLXN_16));
- INV XLXI_7 (.I(b0),
- .O(XLXN_17));
+ AND2 XLXI_9 (.I0(XLXN_44),
+ .I1(b3),
+ .O(XLXN_35));
+ AND2 XLXI_10 (.I0(b1),
+ .I1(XLXN_44),
+ .O(XLXN_41));
+ AND2 XLXI_11 (.I0(b0),
+ .I1(XLXN_44),
+ .O(XLXN_40));
+ INV XLXI_14 (.I(b2),
+ .O(XLXN_44));
+ AND3 XLXI_15 (.I0(XLXN_47),
+ .I1(XLXN_49),
+ .I2(b2),
+ .O(XLXN_37));
+ INV XLXI_16 (.I(b1),
+ .O(XLXN_49));
+ INV XLXI_17 (.I(b0),
+ .O(XLXN_47));
endmodule
`timescale 1ns / 1ps
@@ -467,23 +472,40 @@ module Modulo_0_MUSER_ALU(b0,
wire XLXN_1;
wire XLXN_3;
wire XLXN_4;
- wire XLXN_5;
+ wire XLXN_12;
+ wire XLXN_14;
+ wire XLXN_15;
+ wire XLXN_16;
+ wire XLXN_27;
+ wire XLXN_28;
- XNOR2 XLXI_1 (.I0(b0),
- .I1(b1),
- .O(XLXN_1));
AND2 XLXI_2 (.I0(XLXN_1),
.I1(b2),
.O(XLXN_4));
- NAND3 XLXI_3 (.I0(XLXN_5),
- .I1(b1),
- .I2(b2),
- .O(XLXN_3));
OR2 XLXI_4 (.I0(XLXN_4),
.I1(XLXN_3),
.O(result));
- INV XLXI_5 (.I(b0),
- .O(XLXN_5));
+ INV XLXI_9 (.I(b1),
+ .O(XLXN_15));
+ INV XLXI_10 (.I(b0),
+ .O(XLXN_16));
+ AND3 XLXI_11 (.I0(b0),
+ .I1(XLXN_28),
+ .I2(XLXN_27),
+ .O(XLXN_3));
+ INV XLXI_12 (.I(b2),
+ .O(XLXN_27));
+ INV XLXI_13 (.I(b1),
+ .O(XLXN_28));
+ OR2 XLXI_14 (.I0(XLXN_14),
+ .I1(XLXN_12),
+ .O(XLXN_1));
+ AND2 XLXI_15 (.I0(b0),
+ .I1(b1),
+ .O(XLXN_12));
+ AND2 XLXI_16 (.I0(XLXN_16),
+ .I1(XLXN_15),
+ .O(XLXN_14));
endmodule
`timescale 1ns / 1ps
@@ -499,24 +521,24 @@ module Modulo_1_MUSER_ALU(b0,
input b3;
output result;
- wire XLXN_1;
wire XLXN_2;
wire XLXN_3;
wire XLXN_5;
wire XLXN_6;
wire XLXN_7;
- wire XLXN_18;
- wire XLXN_19;
- wire XLXN_20;
- wire XLXN_21;
- wire XLXN_22;
wire XLXN_23;
wire XLXN_24;
+ wire XLXN_50;
+ wire XLXN_51;
+ wire XLXN_54;
+ wire XLXN_55;
+ wire XLXN_56;
+ wire XLXN_57;
AND3 XLXI_1 (.I0(b1),
.I1(b2),
.I2(b3),
- .O(XLXN_1));
+ .O(XLXN_55));
AND3 XLXI_2 (.I0(XLXN_6),
.I1(XLXN_5),
.I2(b3),
@@ -525,38 +547,38 @@ module Modulo_1_MUSER_ALU(b0,
.I1(XLXN_7),
.I2(b3),
.O(XLXN_3));
- OR3 XLXI_4 (.I0(XLXN_3),
- .I1(XLXN_2),
- .I2(XLXN_1),
- .O(XLXN_21));
INV XLXI_5 (.I(b1),
.O(XLXN_5));
INV XLXI_6 (.I(b0),
.O(XLXN_6));
INV XLXI_7 (.I(b2),
.O(XLXN_7));
- OR2 XLXI_9 (.I0(XLXN_20),
- .I1(XLXN_21),
- .O(result));
AND4 XLXI_11 (.I0(b0),
.I1(XLXN_24),
.I2(b2),
.I3(XLXN_23),
- .O(XLXN_19));
- OR2 XLXI_12 (.I0(XLXN_19),
- .I1(XLXN_18),
- .O(XLXN_20));
- NAND4 XLXI_13 (.I0(b0),
- .I1(XLXN_22),
- .I2(b2),
- .I3(b3),
- .O(XLXN_18));
- INV XLXI_14 (.I(b1),
- .O(XLXN_22));
+ .O(XLXN_57));
INV XLXI_15 (.I(b3),
.O(XLXN_23));
INV XLXI_16 (.I(b1),
.O(XLXN_24));
+ AND4 XLXI_18 (.I0(XLXN_54),
+ .I1(b1),
+ .I2(XLXN_50),
+ .I3(XLXN_51),
+ .O(XLXN_56));
+ INV XLXI_19 (.I(b2),
+ .O(XLXN_50));
+ INV XLXI_20 (.I(b3),
+ .O(XLXN_51));
+ INV XLXI_21 (.I(b0),
+ .O(XLXN_54));
+ OR5 XLXI_22 (.I0(XLXN_57),
+ .I1(XLXN_56),
+ .I2(XLXN_3),
+ .I3(XLXN_2),
+ .I4(XLXN_55),
+ .O(result));
endmodule
`timescale 1ns / 1ps
@@ -708,25 +730,24 @@ module Divide_2_MUSER_ALU(b0,
input b3;
output result;
- wire XLXN_1;
- wire XLXN_2;
- wire XLXN_3;
- wire XLXN_7;
+ wire XLXN_9;
+ wire XLXN_13;
+ wire XLXN_14;
+ wire XLXN_15;
- OR3 XLXI_1 (.I0(XLXN_1),
- .I1(XLXN_7),
- .I2(XLXN_3),
- .O(result));
- AND3 XLXI_2 (.I0(XLXN_2),
- .I1(b3),
- .I2(b1),
- .O(XLXN_1));
- INV XLXI_3 (.I(b2),
- .O(XLXN_2));
- INV XLXI_4 (.I(b0),
- .O(XLXN_3));
- INV XLXI_9 (.I(b1),
- .O(XLXN_7));
+ AND2 XLXI_10 (.I0(XLXN_9),
+ .I1(b3),
+ .O(result));
+ OR3 XLXI_12 (.I0(XLXN_15),
+ .I1(XLXN_14),
+ .I2(XLXN_13),
+ .O(XLXN_9));
+ INV XLXI_13 (.I(b0),
+ .O(XLXN_13));
+ INV XLXI_15 (.I(b1),
+ .O(XLXN_14));
+ INV XLXI_16 (.I(b2),
+ .O(XLXN_15));
endmodule
`timescale 1ns / 1ps
@@ -743,14 +764,23 @@ module Divide_3_MUSER_ALU(b0,
output result;
wire XLXN_2;
+ wire XLXN_13;
+ wire XLXN_14;
+ wire XLXN_15;
AND2 XLXI_2 (.I0(XLXN_2),
.I1(b3),
.O(result));
- NOR3 XLXI_3 (.I0(b0),
- .I1(b1),
- .I2(b2),
- .O(XLXN_2));
+ OR3 XLXI_4 (.I0(XLXN_15),
+ .I1(XLXN_14),
+ .I2(XLXN_13),
+ .O(XLXN_2));
+ INV XLXI_6 (.I(b2),
+ .O(XLXN_13));
+ INV XLXI_7 (.I(b1),
+ .O(XLXN_14));
+ INV XLXI_8 (.I(b0),
+ .O(XLXN_15));
endmodule
`timescale 1ns / 1ps
@@ -766,25 +796,23 @@ module Divide_0_MUSER_ALU(b0,
input b3;
output result;
- wire XLXN_1;
wire XLXN_2;
wire XLXN_3;
wire XLXN_4;
wire XLXN_5;
wire XLXN_6;
+ wire XLXN_12;
- AND3 XLXI_1 (.I0(b3),
+ AND3 XLXI_1 (.I0(b0),
.I1(b3),
.I2(XLXN_2),
.O(XLXN_5));
AND2 XLXI_2 (.I0(b1),
- .I1(XLXN_1),
+ .I1(XLXN_12),
.O(XLXN_4));
AND2 XLXI_3 (.I0(b1),
.I1(XLXN_3),
.O(XLXN_6));
- INV XLXI_4 (.I(b3),
- .O(XLXN_1));
INV XLXI_5 (.I(b1),
.O(XLXN_2));
INV XLXI_6 (.I(b0),
@@ -793,6 +821,8 @@ module Divide_0_MUSER_ALU(b0,
.I1(XLXN_5),
.I2(XLXN_4),
.O(result));
+ INV XLXI_9 (.I(b3),
+ .O(XLXN_12));
endmodule
`timescale 1ns / 1ps
@@ -830,10 +860,10 @@ module Divide_MUSER_ALU(b0,
.b2(b2),
.b3(b3),
.result(out3));
- Divide_0_MUSER_ALU XLXI_12 (.b0(b1),
- .b1(b2),
+ Divide_0_MUSER_ALU XLXI_12 (.b0(b0),
+ .b1(b1),
.b2(b2),
- .b3(b0),
+ .b3(b3),
.result(out0));
endmodule
`timescale 1ns / 1ps
@@ -881,10 +911,6 @@ module ALU(A,
wire XLXN_23;
wire XLXN_24;
wire XLXN_25;
- wire XLXN_26;
- wire XLXN_27;
- wire XLXN_28;
- wire XLXN_31;
wire XLXN_33;
wire XLXN_34;
wire XLXN_35;
@@ -893,6 +919,10 @@ module ALU(A,
wire XLXN_38;
wire XLXN_39;
wire XLXN_41;
+ wire XLXN_42;
+ wire XLXN_43;
+ wire XLXN_44;
+ wire XLXN_45;
Divide_MUSER_ALU XLXI_1 (.b0(D),
.b1(C),
@@ -914,13 +944,13 @@ module ALU(A,
.b1(C),
.b2(B),
.b3(A),
- .out0(XLXN_27),
- .out1(XLXN_28),
- .out2(XLXN_31),
- .out3(XLXN_26));
+ .out0(XLXN_42),
+ .out1(XLXN_43),
+ .out2(XLXN_44),
+ .out3(XLXN_45));
(* HU_SET = "XLXI_4_0" *)
M4_1E_HXILINX_ALU XLXI_4 (.D0(A),
- .D1(XLXN_26),
+ .D1(XLXN_45),
.D2(XLXN_33),
.D3(XLXN_41),
.E(XLXN_12),
@@ -929,7 +959,7 @@ module ALU(A,
.O(XLXN_22));
(* HU_SET = "XLXI_5_1" *)
M4_1E_HXILINX_ALU XLXI_5 (.D0(B),
- .D1(XLXN_27),
+ .D1(XLXN_44),
.D2(XLXN_34),
.D3(XLXN_39),
.E(XLXN_12),
@@ -938,7 +968,7 @@ module ALU(A,
.O(XLXN_23));
(* HU_SET = "XLXI_6_2" *)
M4_1E_HXILINX_ALU XLXI_6 (.D0(C),
- .D1(XLXN_28),
+ .D1(XLXN_43),
.D2(XLXN_35),
.D3(XLXN_38),
.E(XLXN_12),
@@ -947,7 +977,7 @@ module ALU(A,
.O(XLXN_24));
(* HU_SET = "XLXI_7_3" *)
M4_1E_HXILINX_ALU XLXI_7 (.D0(D),
- .D1(XLXN_31),
+ .D1(XLXN_42),
.D2(XLXN_36),
.D3(XLXN_37),
.E(XLXN_12),
diff --git a/ALU_bitgen.xwbt b/ALU_bitgen.xwbt
index f3acff2..78a0bc1 100755
--- a/ALU_bitgen.xwbt
+++ b/ALU_bitgen.xwbt
@@ -1,8 +1,8 @@
INTSTYLE=ise
-INFILE=X:\My Documents\ec311\lab1\ALU.ncd
-OUTFILE=X:\My Documents\ec311\lab1\ALU.bit
+INFILE=X:\My Documents\ec311\ec311-lab1\ALU.ncd
+OUTFILE=X:\My Documents\ec311\ec311-lab1\ALU.bit
FAMILY=Spartan6
PART=xc6slx16-3csg324
-WORKINGDIR=X:\My Documents\ec311\lab1
+WORKINGDIR=X:\My Documents\ec311\ec311-lab1
LICENSE=ISE
USER_INFO=__174135685_174135686_174419191
diff --git a/ALU_envsettings.html b/ALU_envsettings.html
index 2c65f7c..109e8da 100644
--- a/ALU_envsettings.html
+++ b/ALU_envsettings.html
@@ -14,53 +14,53 @@
<td><b>par</b></td>
</tr>
<tr>
-<td>PATH</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\PlanAhead\bin;C:<br>\Xilinx\13.3\ISE_DS\ISE\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\ISE\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;C:<br>\Xilinx\13.3\ISE_DS\common\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\common\lib\nt64;C:<br>\Windows\system32;C:<br>\Windows;C:<br>\Windows\System32\Wbem;C:<br>\Windows\System32\WindowsPowerShell\v1.0\;C:<br>\Program Files\MATLAB\R2011a\runtime\win64;C:<br>\Program Files\MATLAB\R2011a\bin;C:<br>\VXIPNP\WinNT\Bin;C:<br>\Program Files (x86)\Altium Designer Summer 09\System;C:<br>\Program Files (x86)\QuickTime\QTSystem\;C:<br>\Program Files\NetBeans 7.0.1\java\ant\bin;C:<br>\Program Files\Java\jdk1.6.0_27\bin;C:<br>\Program Files\TortoiseSVN\bin;C:<br>\Program Files (x86)\Rational\Rose RealTime\bin\win32;C:<br>\Program Files (x86)\Rational\common;C:<br>\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;C:<br>\Cadence\SPB_16.5\tools\Capture;C:<br>\Cadence\SPB_16.5\tools\PSpice\Library;C:<br>\Cadence\SPB_16.5\tools\PSpice;C:<br>\Cadence\SPB_16.5\tools\specctra\bin;C:<br>\Cadence\SPB_16.5\tools\fet\bin;C:<br>\Cadence\SPB_16.5\tools\libutil\bin;C:<br>\Cadence\SPB_16.5\tools\bin;C:<br>\Cadence\SPB_16.5\tools\pcb\bin</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\PlanAhead\bin;C:<br>\Xilinx\13.3\ISE_DS\ISE\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\ISE\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;C:<br>\Xilinx\13.3\ISE_DS\common\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\common\lib\nt64;C:<br>\Windows\system32;C:<br>\Windows;C:<br>\Windows\System32\Wbem;C:<br>\Windows\System32\WindowsPowerShell\v1.0\;C:<br>\Program Files\MATLAB\R2011a\runtime\win64;C:<br>\Program Files\MATLAB\R2011a\bin;C:<br>\VXIPNP\WinNT\Bin;C:<br>\Program Files (x86)\Altium Designer Summer 09\System;C:<br>\Program Files (x86)\QuickTime\QTSystem\;C:<br>\Program Files\NetBeans 7.0.1\java\ant\bin;C:<br>\Program Files\Java\jdk1.6.0_27\bin;C:<br>\Program Files\TortoiseSVN\bin;C:<br>\Program Files (x86)\Rational\Rose RealTime\bin\win32;C:<br>\Program Files (x86)\Rational\common;C:<br>\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;C:<br>\Cadence\SPB_16.5\tools\Capture;C:<br>\Cadence\SPB_16.5\tools\PSpice\Library;C:<br>\Cadence\SPB_16.5\tools\PSpice;C:<br>\Cadence\SPB_16.5\tools\specctra\bin;C:<br>\Cadence\SPB_16.5\tools\fet\bin;C:<br>\Cadence\SPB_16.5\tools\libutil\bin;C:<br>\Cadence\SPB_16.5\tools\bin;C:<br>\Cadence\SPB_16.5\tools\pcb\bin</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\PlanAhead\bin;C:<br>\Xilinx\13.3\ISE_DS\ISE\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\ISE\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;C:<br>\Xilinx\13.3\ISE_DS\common\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\common\lib\nt64;C:<br>\Windows\system32;C:<br>\Windows;C:<br>\Windows\System32\Wbem;C:<br>\Windows\System32\WindowsPowerShell\v1.0\;C:<br>\Program Files\MATLAB\R2011a\runtime\win64;C:<br>\Program Files\MATLAB\R2011a\bin;C:<br>\VXIPNP\WinNT\Bin;C:<br>\Program Files (x86)\Altium Designer Summer 09\System;C:<br>\Program Files (x86)\QuickTime\QTSystem\;C:<br>\Program Files\NetBeans 7.0.1\java\ant\bin;C:<br>\Program Files\Java\jdk1.6.0_27\bin;C:<br>\Program Files\TortoiseSVN\bin;C:<br>\Program Files (x86)\Rational\Rose RealTime\bin\win32;C:<br>\Program Files (x86)\Rational\common;C:<br>\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;C:<br>\Cadence\SPB_16.5\tools\Capture;C:<br>\Cadence\SPB_16.5\tools\PSpice\Library;C:<br>\Cadence\SPB_16.5\tools\PSpice;C:<br>\Cadence\SPB_16.5\tools\specctra\bin;C:<br>\Cadence\SPB_16.5\tools\fet\bin;C:<br>\Cadence\SPB_16.5\tools\libutil\bin;C:<br>\Cadence\SPB_16.5\tools\bin;C:<br>\Cadence\SPB_16.5\tools\pcb\bin</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\PlanAhead\bin;C:<br>\Xilinx\13.3\ISE_DS\ISE\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\ISE\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\lib\nt64;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;C:<br>\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;C:<br>\Xilinx\13.3\ISE_DS\common\bin\nt64;C:<br>\Xilinx\13.3\ISE_DS\common\lib\nt64;C:<br>\Windows\system32;C:<br>\Windows;C:<br>\Windows\System32\Wbem;C:<br>\Windows\System32\WindowsPowerShell\v1.0\;C:<br>\Program Files\MATLAB\R2011a\runtime\win64;C:<br>\Program Files\MATLAB\R2011a\bin;C:<br>\VXIPNP\WinNT\Bin;C:<br>\Program Files (x86)\Altium Designer Summer 09\System;C:<br>\Program Files (x86)\QuickTime\QTSystem\;C:<br>\Program Files\NetBeans 7.0.1\java\ant\bin;C:<br>\Program Files\Java\jdk1.6.0_27\bin;C:<br>\Program Files\TortoiseSVN\bin;C:<br>\Program Files (x86)\Rational\Rose RealTime\bin\win32;C:<br>\Program Files (x86)\Rational\common;C:<br>\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;C:<br>\Cadence\SPB_16.5\tools\Capture;C:<br>\Cadence\SPB_16.5\tools\PSpice\Library;C:<br>\Cadence\SPB_16.5\tools\PSpice;C:<br>\Cadence\SPB_16.5\tools\specctra\bin;C:<br>\Cadence\SPB_16.5\tools\fet\bin;C:<br>\Cadence\SPB_16.5\tools\libutil\bin;C:<br>\Cadence\SPB_16.5\tools\bin;C:<br>\Cadence\SPB_16.5\tools\pcb\bin</td>
+<td>PATHEXT</td>
+<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
+<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
+<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
+<td><font color=gray>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</font></td>
</tr>
<tr>
-<td>PATHEXT</td>
-<td>.COM;.EXE;.BAT;.CMD;.VBS;.VBE;.JS;.JSE;.WSF;.WSH;.MSC</td>
-<td>.COM;.EXE;.BAT;.CMD;.VBS;.VBE;.JS;.JSE;.WSF;.WSH;.MSC</td>
-<td>.COM;.EXE;.BAT;.CMD;.VBS;.VBE;.JS;.JSE;.WSF;.WSH;.MSC</td>
-<td>.COM;.EXE;.BAT;.CMD;.VBS;.VBE;.JS;.JSE;.WSF;.WSH;.MSC</td>
+<td>Path</td>
+<td>C:\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.3\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\common\lib\nt64;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files\MATLAB\R2011a\runtime\win64;<br>C:\Program Files\MATLAB\R2011a\bin;<br>C:\VXIPNP\WinNT\Bin;<br>C:\Program Files (x86)\Altium Designer Summer 09\System;<br>C:\Program Files (x86)\QuickTime\QTSystem\;<br>C:\Program Files\NetBeans 7.0.1\java\ant\bin;<br>C:\Program Files\Java\jdk1.6.0_27\bin;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files (x86)\Rational\Rose RealTime\bin\win32;<br>C:\Program Files (x86)\Rational\common;<br>C:\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;<br>C:\Cadence\SPB_16.5\tools\Capture;<br>C:\Cadence\SPB_16.5\tools\PSpice\Library;<br>C:\Cadence\SPB_16.5\tools\PSpice;<br>C:\Cadence\SPB_16.5\tools\specctra\bin;<br>C:\Cadence\SPB_16.5\tools\fet\bin;<br>C:\Cadence\SPB_16.5\tools\libutil\bin;<br>C:\Cadence\SPB_16.5\tools\bin;<br>C:\Cadence\SPB_16.5\tools\pcb\bin</td>
+<td>C:\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.3\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\common\lib\nt64;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files\MATLAB\R2011a\runtime\win64;<br>C:\Program Files\MATLAB\R2011a\bin;<br>C:\VXIPNP\WinNT\Bin;<br>C:\Program Files (x86)\Altium Designer Summer 09\System;<br>C:\Program Files (x86)\QuickTime\QTSystem\;<br>C:\Program Files\NetBeans 7.0.1\java\ant\bin;<br>C:\Program Files\Java\jdk1.6.0_27\bin;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files (x86)\Rational\Rose RealTime\bin\win32;<br>C:\Program Files (x86)\Rational\common;<br>C:\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;<br>C:\Cadence\SPB_16.5\tools\Capture;<br>C:\Cadence\SPB_16.5\tools\PSpice\Library;<br>C:\Cadence\SPB_16.5\tools\PSpice;<br>C:\Cadence\SPB_16.5\tools\specctra\bin;<br>C:\Cadence\SPB_16.5\tools\fet\bin;<br>C:\Cadence\SPB_16.5\tools\libutil\bin;<br>C:\Cadence\SPB_16.5\tools\bin;<br>C:\Cadence\SPB_16.5\tools\pcb\bin</td>
+<td>C:\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.3\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\common\lib\nt64;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files\MATLAB\R2011a\runtime\win64;<br>C:\Program Files\MATLAB\R2011a\bin;<br>C:\VXIPNP\WinNT\Bin;<br>C:\Program Files (x86)\Altium Designer Summer 09\System;<br>C:\Program Files (x86)\QuickTime\QTSystem\;<br>C:\Program Files\NetBeans 7.0.1\java\ant\bin;<br>C:\Program Files\Java\jdk1.6.0_27\bin;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files (x86)\Rational\Rose RealTime\bin\win32;<br>C:\Program Files (x86)\Rational\common;<br>C:\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;<br>C:\Cadence\SPB_16.5\tools\Capture;<br>C:\Cadence\SPB_16.5\tools\PSpice\Library;<br>C:\Cadence\SPB_16.5\tools\PSpice;<br>C:\Cadence\SPB_16.5\tools\specctra\bin;<br>C:\Cadence\SPB_16.5\tools\fet\bin;<br>C:\Cadence\SPB_16.5\tools\libutil\bin;<br>C:\Cadence\SPB_16.5\tools\bin;<br>C:\Cadence\SPB_16.5\tools\pcb\bin</td>
+<td><font color=gray>C:\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.3\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.3\ISE_DS\common\lib\nt64;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files\MATLAB\R2011a\runtime\win64;<br>C:\Program Files\MATLAB\R2011a\bin;<br>C:\VXIPNP\WinNT\Bin;<br>C:\Program Files (x86)\Altium Designer Summer 09\System;<br>C:\Program Files (x86)\QuickTime\QTSystem\;<br>C:\Program Files\NetBeans 7.0.1\java\ant\bin;<br>C:\Program Files\Java\jdk1.6.0_27\bin;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files (x86)\Rational\Rose RealTime\bin\win32;<br>C:\Program Files (x86)\Rational\common;<br>C:\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;<br>C:\Cadence\SPB_16.5\tools\Capture;<br>C:\Cadence\SPB_16.5\tools\PSpice\Library;<br>C:\Cadence\SPB_16.5\tools\PSpice;<br>C:\Cadence\SPB_16.5\tools\specctra\bin;<br>C:\Cadence\SPB_16.5\tools\fet\bin;<br>C:\Cadence\SPB_16.5\tools\libutil\bin;<br>C:\Cadence\SPB_16.5\tools\bin;<br>C:\Cadence\SPB_16.5\tools\pcb\bin</font></td>
</tr>
<tr>
<td>XILINX</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE\</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE\</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE\</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE\</td>
+<td>C:\Xilinx\13.3\ISE_DS\ISE\</td>
+<td>C:\Xilinx\13.3\ISE_DS\ISE\</td>
+<td>C:\Xilinx\13.3\ISE_DS\ISE\</td>
+<td><font color=gray>C:\Xilinx\13.3\ISE_DS\ISE\</font></td>
</tr>
<tr>
<td>XILINXD_LICENSE_FILE</td>
<td>2100@XilinxLM.bu.edu</td>
<td>2100@XilinxLM.bu.edu</td>
<td>2100@XilinxLM.bu.edu</td>
-<td>2100@XilinxLM.bu.edu</td>
+<td><font color=gray>2100@XilinxLM.bu.edu</font></td>
</tr>
<tr>
<td>XILINX_DSP</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\ISE</td>
+<td>C:\Xilinx\13.3\ISE_DS\ISE</td>
+<td>C:\Xilinx\13.3\ISE_DS\ISE</td>
+<td>C:\Xilinx\13.3\ISE_DS\ISE</td>
+<td><font color=gray>C:\Xilinx\13.3\ISE_DS\ISE</font></td>
</tr>
<tr>
<td>XILINX_EDK</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\EDK</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\EDK</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\EDK</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\EDK</td>
+<td>C:\Xilinx\13.3\ISE_DS\EDK</td>
+<td>C:\Xilinx\13.3\ISE_DS\EDK</td>
+<td>C:\Xilinx\13.3\ISE_DS\EDK</td>
+<td><font color=gray>C:\Xilinx\13.3\ISE_DS\EDK</font></td>
</tr>
<tr>
<td>XILINX_PLANAHEAD</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\PlanAhead</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\PlanAhead</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\PlanAhead</td>
-<td>C:<br>\Xilinx\13.3\ISE_DS\PlanAhead</td>
+<td>C:\Xilinx\13.3\ISE_DS\PlanAhead</td>
+<td>C:\Xilinx\13.3\ISE_DS\PlanAhead</td>
+<td>C:\Xilinx\13.3\ISE_DS\PlanAhead</td>
+<td><font color=gray>C:\Xilinx\13.3\ISE_DS\PlanAhead</font></td>
</tr>
</TABLE>
<A NAME="Synthesis Property Settings"></A>
@@ -477,28 +477,28 @@
<td><b>Default Value</b></td>
</tr>
<tr>
-<td>-intstyle</td>
-<td>&nbsp;</td>
-<td>ise</td>
-<td>&nbsp;</td>
+<td><font color=gray>-intstyle</font></td>
+<td><font color=gray>&nbsp;</font></td>
+<td><font color=gray>ise</font></td>
+<td><font color=gray>&nbsp;</font></td>
</tr>
<tr>
-<td>-mt</td>
-<td>Enable Multi-Threading</td>
-<td>off</td>
-<td>off</td>
+<td><font color=gray>-mt</font></td>
+<td><font color=gray>Enable Multi-Threading</font></td>
+<td><font color=gray>off</font></td>
+<td><font color=gray>off</font></td>
</tr>
<tr>
-<td>-ol</td>
-<td>Place & Route Effort Level (Overall)</td>
-<td>high</td>
-<td>std</td>
+<td><font color=gray>-ol</font></td>
+<td><font color=gray>Place & Route Effort Level (Overall)</font></td>
+<td><font color=gray>high</font></td>
+<td><font color=gray>std</font></td>
</tr>
<tr>
-<td>-w</td>
-<td>&nbsp;</td>
-<td>true</td>
-<td>false</td>
+<td><font color=gray>-w</font></td>
+<td><font color=gray>&nbsp;</font></td>
+<td><font color=gray>true</font></td>
+<td><font color=gray>false</font></td>
</tr>
</TABLE>
<A NAME="Operating System Information"></A>
@@ -518,28 +518,28 @@
<td>Intel(R) Core(TM)2 Duo CPU E8200 @ 2.66GHz/2660 MHz</td>
<td>Intel(R) Core(TM)2 Duo CPU E8200 @ 2.66GHz/2660 MHz</td>
<td>Intel(R) Core(TM)2 Duo CPU E8200 @ 2.66GHz/2660 MHz</td>
-<td>Intel(R) Core(TM)2 Duo CPU E8200 @ 2.66GHz/2660 MHz</td>
+<td><font color=gray>Intel(R) Core(TM)2 Duo CPU E8200 @ 2.66GHz/2660 MHz</font></td>
</tr>
<tr>
<td>Host</td>
-<td>ECE-PHO115-09</td>
-<td>ECE-PHO115-09</td>
-<td>ECE-PHO115-09</td>
-<td>ECE-PHO115-09</td>
+<td>ECE-PHO115-08</td>
+<td>ECE-PHO115-08</td>
+<td>ECE-PHO115-08</td>
+<td><font color=gray>ECE-PHO115-08</font></td>
</tr>
<tr>
<td>OS Name</td>
<td>Microsoft Windows 7 , 64-bit</td>
<td>Microsoft Windows 7 , 64-bit</td>
<td>Microsoft Windows 7 , 64-bit</td>
-<td>Microsoft Windows 7 , 64-bit</td>
+<td><font color=gray>Microsoft Windows 7 , 64-bit</font></td>
</tr>
<tr>
<td>OS Release</td>
<td>Service Pack 1 (build 7601)</td>
<td>Service Pack 1 (build 7601)</td>
<td>Service Pack 1 (build 7601)</td>
-<td>Service Pack 1 (build 7601)</td>
+<td><font color=gray>Service Pack 1 (build 7601)</font></td>
</tr>
</TABLE>
</BODY> </HTML> \ No newline at end of file
diff --git a/ALU_guide.ncd b/ALU_guide.ncd
index be0b713..ca10a31 100755
--- a/ALU_guide.ncd
+++ b/ALU_guide.ncd
@@ -1,3 +1,3 @@
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
-###6396:XlxV32DM 3fff 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###2448:XlxV32DM 3fff 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###1464:XlxV32DM 1cb8 5a0eNqtWU2SqzgMvpJlyWCSzauZC8xbzAHSaVh2VS9mRfXdRxagGLANxK9T1WmUT7Js/Xxymp40UEsdoRscUueInDHOsmTgp8F5tEiuQzSGBgduYPmBjrzbgGSlRW7Xtl7SLSo8M9bwc+9aY35+vqDvb+aG41cHN4D7f5252fu/friB8yMCvx6E7JVznj5lHcCO1wFk/SAh4PcI8doB78k5YqQ104q0009iHTpEIht8T+ihIpzsjre9RfNeLcHsr0UQPVBsVk/QyOhujSVHTwTG4gZLE3b+3E2+rbBL1NY6XnGiE51DQA5BW/zd54qPrK60Fh3iUzyTSSuLRZ2zubmy+Kfy2V/O5+GVzybO524I+UyJfA7rWPH6vXx+6V/L50mPtvlcRJsLaMDmEtpeQPs1livAJbF+zge3qqZ05dkM1io2W00zbtLZVxOgu1xNolOqjPDXOxU16ZUqpGj5gl5UJ3CqumD7vK2uwXB1dVJdbVRc9tmOoXVycZ0tDJcJFJ4orjU6IV+XcGSnzRb3okvS0MNnKD7mm0XZDopv0lCq7ISQeLFiE1ZeVFrnzVk7LrQr+YySpzzZ8SfsOLVjK06HtG2jeFaXfdMplenBHtKDq/aD5sa0tdFK07UyNtiMZndt9dmezQxp0lqLK7rdfv2iKQQRmjKolf06fqE1bfugmlMll0kQIkKBQ4KFiNbg8kml9bR2ZGRc9pvLrsOTmq28v47UqDRr0Gbt42b9kWzWk/kuMo9RIDCXHovrvHSfaccuzCE57XhynlPE5Dc+p6LJrNOeDmkX3QC2e2tEq4nuABiFP42OZycs2PavBI5tzyFPn/IuJsnbyMFuk/TZVVqwlfokmZFtuEJ8dNBwuxpylbP1Qh9NFZ01SmdYRa+t2qEqujdK9zX0anX4wCqSbpSkq7zR0QwKzbvhm38/E3XOxvmcja2905MkEtKMLTfjhzTjJmrGaKUZN8lm3K4Lnz+3ycJ/pWk/O5NrxN3hfKPzHf9m1DE+hdsd5ZVWYTOThjnkw9Kuy7x73ISP18ELE4VdTSJ4OLfgIdqs0ToT4eG8VaL54x2kKXs/r5oMAbTXVkw2caq2Mfl3XBnlHIdqP7DyVtUpfTQVjdYpfZRosa325zydgTZ+rKJ7UrqHCjtGaRGqviE4s6sz31i0Gi2cyQaZbEjI5hGRzZPGHdPkc7Qp3DDf9fXP3ftPfkszRfugcpt895qvJ2fvvsXT1MHdzlEijdJHHKU2F6X0v0yE92fL+Q7UzzuBQh9+P6raI6u+69pk8qUTyHmV39PnicwQ2xwrfHCoQEK1/FPh54u8CkGFj0aFToUfpEJU4dOqkFT4aVRoFyH//Pr192it5bu7913fPlnw12hH/xHQ/LKmv3/7z/vP6FsVDZOIsf+Mv3kD5v6b8XD/BhMevgHkKdgdwhvyG4P/BzdSodI= \ No newline at end of file
+###6500:XlxV32DM 3fff 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###2516:XlxV32DM 3fff 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###1472:XlxV32DM 1c56 5a8eNq9WcuS6yYQ/SX6gYTsza3kB3IX+QA/pOVUzSIr1fx7GiThFgZJWKmMq/xApxto+hwaxhhjkTseGO3AAxk7WCO/e9sa8/PzBf1wMRcav9xwAbj+4/oLXv92zws0/UhASDcmfrC1jh9i66SttZ1/F89OXgODMRoj3wZupQ/iwVrLZMzUI6f2OaQlS4SMBSsbETaiuYiGiAholscpWuKCDPwMdkiw2M3YuZd3u4AmQXfx+dLHg0CwlGB5ws7PrX8uRho7cCfjSW1cxAUbFTOPHLx1mCcuHrRl9LqyWmyYZb6SFa+nYi0IK3Mpety0kXWs93jQRmexzupVpLCEyuX+YF65b3Tu353PfZvJfb/iGEb9OJD5wp8kL5V9DlvM58mO1/m8gzYVaKCmCo0pOssQiWFAmzeO5NHdgtbsK6BxHonJcBUzaFZcjeox888c5J/J8m9SpTr+BZstLvlvn3Bwstvi1KbnCjvFLDjER0h/v/ERhI9d4GOr6IgdjF6ahY5HqWSzC8XzkmS2orjEkLVM5XyxdHPy4WQZEwo+7MdUyIVVJIVduYBdtEnRM+0gS2mnKA0xCqWZJ3HKRthWzx1DrPMS20aEDYhcNnR1PWapn2wBmU0CdzcJe3ocFPKzPI58/2te+Gfkc6ZQ8O37CWs4F4W84ak94ImUJzzhybzK1J212vYzFZOTpylXP/U0rVUoHzb8tAdWrYurZmcBxSigTgvonbyAUlFArSJKI9VwP6dtHq0RuemZDfGrk4DmJTVzzQ9qh4cP++HEDj8UWawSWcyJbKxtIDNzXNU2oM5GcCRO86q+C6SS5E2BRF8TxiR8vkXrmYjb85C44f8ubiuanBC3RkmSPSVu/5VMshK3M5LklLThKWkzUdpwM3fyObNe8WecV03+2M2yYD/rt8qCbUs3izCJCN+CCDdahPuHF2E+XMViQb6mY8jnocUYWj61+7SRVnQqZSCmzBk/nUphOkNORalTftQFGa+EtHZmNpKKsn6OC1g6onN5SAdqX96pfUtnkZpxdCWBsO181ioVKljX9+zvyInDFKSlrexxOeOY3VMVFbbwdvf0Rur0RrsnQ1InQ9o9dZIqiKh67nm797N0Ye5HYpZcwX7QCy2XFyyyz0H2b/ouEe4jdeomMV9HwfIp74LarbuyuMyOSBW7J6ndk3b3QCqkeHNiU7HBOgjHyZqviZKJ+7HcjeIG4V+3icX5HI0/rm8vQ1LZmFR3lVSPdqQmW0i0Wc++v75wNfWKab95NcXp+lWubNwSTlWqadlRE4HSqMpzCv3sXt9MdR/dZKkgLNXyv4SfL3axEWLjrYmNHBvvHBttbHxgbKTY+DSxEZdG+fv1688RkS7U3NjR0EvDHyOOrvNoeaEZrt/ufv0ZnV2awExNgv1r/C0TMNff94uB6zcY/+MbIPzyfgf/wfIh4H8BQdWKPw== \ No newline at end of file
diff --git a/ALU_map.map b/ALU_map.map
index f6a2ce0..693adae 100755
--- a/ALU_map.map
+++ b/ALU_map.map
@@ -10,7 +10,7 @@ Target Device : xc6slx16
Target Package : csg324
Target Speed : -3
Mapper Version : spartan6 -- $Revision: 1.55 $
-Mapped Date : Wed Feb 15 15:15:50 2012
+Mapped Date : Thu Feb 16 19:52:56 2012
Mapping design into LUTs...
Running directed packing...
@@ -19,53 +19,53 @@ Updating timing models...
INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
(.mrp).
Running timing-driven placement...
-Total REAL time at the beginning of Placer: 7 secs
+Total REAL time at the beginning of Placer: 6 secs
Total CPU time at the beginning of Placer: 5 secs
Phase 1.1 Initial Placement Analysis
-Phase 1.1 Initial Placement Analysis (Checksum:743131b2) REAL time: 9 secs
+Phase 1.1 Initial Placement Analysis (Checksum:ecad4836) REAL time: 7 secs
Phase 2.7 Design Feasibility Check
-Phase 2.7 Design Feasibility Check (Checksum:743131b2) REAL time: 9 secs
+Phase 2.7 Design Feasibility Check (Checksum:ecad4836) REAL time: 7 secs
Phase 3.31 Local Placement Optimization
-Phase 3.31 Local Placement Optimization (Checksum:743131b2) REAL time: 9 secs
+Phase 3.31 Local Placement Optimization (Checksum:ecad4836) REAL time: 7 secs
Phase 4.2 Initial Placement for Architecture Specific Features
Phase 4.2 Initial Placement for Architecture Specific Features
-(Checksum:743131b2) REAL time: 9 secs
+(Checksum:ecad4836) REAL time: 7 secs
Phase 5.36 Local Placement Optimization
-Phase 5.36 Local Placement Optimization (Checksum:743131b2) REAL time: 9 secs
+Phase 5.36 Local Placement Optimization (Checksum:ecad4836) REAL time: 7 secs
Phase 6.30 Global Clock Region Assignment
-Phase 6.30 Global Clock Region Assignment (Checksum:743131b2) REAL time: 9 secs
+Phase 6.30 Global Clock Region Assignment (Checksum:ecad4836) REAL time: 7 secs
Phase 7.3 Local Placement Optimization
-Phase 7.3 Local Placement Optimization (Checksum:743131b2) REAL time: 9 secs
+Phase 7.3 Local Placement Optimization (Checksum:ecad4836) REAL time: 7 secs
Phase 8.5 Local Placement Optimization
-Phase 8.5 Local Placement Optimization (Checksum:743131b2) REAL time: 9 secs
+Phase 8.5 Local Placement Optimization (Checksum:ecad4836) REAL time: 7 secs
Phase 9.8 Global Placement
..
..
-Phase 9.8 Global Placement (Checksum:46f7f38f) REAL time: 9 secs
+Phase 9.8 Global Placement (Checksum:59a47f53) REAL time: 7 secs
Phase 10.5 Local Placement Optimization
-Phase 10.5 Local Placement Optimization (Checksum:46f7f38f) REAL time: 9 secs
+Phase 10.5 Local Placement Optimization (Checksum:59a47f53) REAL time: 7 secs
Phase 11.18 Placement Optimization
-Phase 11.18 Placement Optimization (Checksum:9ea3640f) REAL time: 9 secs
+Phase 11.18 Placement Optimization (Checksum:87bc4903) REAL time: 7 secs
Phase 12.5 Local Placement Optimization
-Phase 12.5 Local Placement Optimization (Checksum:9ea3640f) REAL time: 10 secs
+Phase 12.5 Local Placement Optimization (Checksum:87bc4903) REAL time: 7 secs
Phase 13.34 Placement Validation
-Phase 13.34 Placement Validation (Checksum:9ea3640f) REAL time: 10 secs
+Phase 13.34 Placement Validation (Checksum:87bc4903) REAL time: 7 secs
-Total REAL time to Placer completion: 10 secs
-Total CPU time to Placer completion: 5 secs
+Total REAL time to Placer completion: 7 secs
+Total CPU time to Placer completion: 6 secs
Running post-placement packing...
Writing output files...
@@ -86,7 +86,7 @@ Slice Logic Utilization:
Number used as Memory: 0 out of 2,176 0%
Slice Logic Distribution:
- Number of occupied Slices: 5 out of 2,278 1%
+ Number of occupied Slices: 6 out of 2,278 1%
Nummber of MUXCYs used: 0 out of 4,556 0%
Number of LUT Flip Flop pairs used: 13
Number with an unused Flip Flop: 13 out of 13 100%
@@ -130,8 +130,8 @@ Specific Feature Utilization:
Average Fanout of Non-Clock Nets: 3.32
-Peak Memory Usage: 352 MB
-Total REAL time to MAP completion: 11 secs
+Peak Memory Usage: 351 MB
+Total REAL time to MAP completion: 8 secs
Total CPU time to MAP completion: 6 secs
Mapping completed.
diff --git a/ALU_map.mrp b/ALU_map.mrp
index fa33483..44798c4 100755
--- a/ALU_map.mrp
+++ b/ALU_map.mrp
@@ -10,7 +10,7 @@ Target Device : xc6slx16
Target Package : csg324
Target Speed : -3
Mapper Version : spartan6 -- $Revision: 1.55 $
-Mapped Date : Wed Feb 15 15:15:50 2012
+Mapped Date : Thu Feb 16 19:52:56 2012
Design Summary
--------------
@@ -27,7 +27,7 @@ Slice Logic Utilization:
Number used as Memory: 0 out of 2,176 0%
Slice Logic Distribution:
- Number of occupied Slices: 5 out of 2,278 1%
+ Number of occupied Slices: 6 out of 2,278 1%
Nummber of MUXCYs used: 0 out of 4,556 0%
Number of LUT Flip Flop pairs used: 13
Number with an unused Flip Flop: 13 out of 13 100%
@@ -71,8 +71,8 @@ Specific Feature Utilization:
Average Fanout of Non-Clock Nets: 3.32
-Peak Memory Usage: 352 MB
-Total REAL time to MAP completion: 11 secs
+Peak Memory Usage: 351 MB
+Total REAL time to MAP completion: 8 secs
Total CPU time to MAP completion: 6 secs
Table of Contents
diff --git a/ALU_map.ncd b/ALU_map.ncd
index 34a2cbf..41d7376 100755
--- a/ALU_map.ncd
+++ b/ALU_map.ncd
@@ -1,3 +1,3 @@
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
-###5580:XlxV32DM 35cd 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 \ No newline at end of file
+###5648:XlxV32DM 359d 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 \ No newline at end of file
diff --git a/ALU_map.ngm b/ALU_map.ngm
index 4bfedaa..e3ef7bd 100755
--- a/ALU_map.ngm
+++ b/ALU_map.ngm
@@ -1,3 +1,3 @@
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
-$0d`5=6>2.Yi{g|inl9$4(5=?$?"<.+109'V`pn{`ee6-?!54"'5>4b3?ygt<8lsf110(563H80M5=4AEP0?DJR;2KE<55NN1]LFP@43HD:46OA1^MAQC5<IG837L@=_N@VB6=FF:20MC=POCWE7>GIL=1JBI<;;@LG71=FFM>=7LQFBTD2?G4<J190NXH>0:@VMIBX\HXLIYO]CI48FZOE]O;0O?5L959@HN613JF@=5>9;BNH62623JF@>U64CMI1\4>7=2IGG4>:;BNHE41<KEAJ=I<8;BNHE4B3?2IGGL?K849@HND6=2IGGN?:;BNH@43<KEAOZn5LLJFU[AOQAMO>7NBDFY:8GIMAP82;56M@MLKWP@B?3JEE_YOAT038GJHT\HD_SY\NSIABJ2=DZLK_II84C^KAQC7<L;1O<?5K139G67=C;;1O5<>4DGF6@DB70O2>4L6?e:FQGZURKJOX_K[C6:F\MGSA9;1Oe{gke^2\IPUBZVKGY<<4Dhtj``Y6WD_XI_QNLT31?AoqamoT>RCZSDP\EIS6:2Nbzdjj_2]NQVCUWHF^==5KiwkgaZKR[LXTMA[>;D32?@^WIGNNHRLLD^DJH@733LR[MCJJD^ALJVRUI@D__<?4EYRBJACCW@YNSKGCE59EVDAe3OXJKNAYESGGe>@UINUIM^KKb:DQEBYDGGLBKl5IR@E\GJSI\11M^BCPUBD2?B733NOEI_O[EE"SKVR*NECEX<<4GDLFVDRBL)ZD_Y#H3118CKB13A_T_HZ>;H08M54<A880E?<4I208M14<A<>0EO[I2:KM<>OINLXYII74IOKWWQGSM:1BB[k4IO]MCAYFFMUIOI84INALIU0<AF^R\H:4IQCG7>J6<>1GEO\NRX48HJGCMM90@BM9;MM@O@B03EELENOC3:NVP1=K]]<87@?<3:O2047<E=U:IRFWIMKM\ZGK]k1FM]KWP@LGAA0<EHZ[IIo4M@R\IJBRDLn0AL^PTHOFWQGJXk1FM]QYESQJKK7>3DFTKH@JR@VF@ZVH[]'L^L@FTDa8Idlhz_oydaac:OjjjtQm{ybcc64Mlw{[J769;1Fci{co^2\IPUBZVKGY<<4MnfvhjY6WD_XI_QNLT31?Hic}eeT?RCZSDP\EIS682Gdhxb`_LWPAWYFD\80B==4N060?K72;2D::85AAOF11>HFFM9>7COAD558J@RPG[A?7CA]399MKQYW@PY:>6@jg`vf[5YJ]ZOYSLBZ139MabgsmV;TAX]JR^COQ44<FlmjxhQ=_LWPAWYFD\;97Ckhaug\7ZKR[LXTMA[>0:LfcdrbWD_XI_QNLT38K3=H&><sh55@.64{`ZJ><2E6$%pF0+*+zL7-A;# $D?%()|J6/.yA:!#rD:$I4+*-..N:#"uE>%qI5+*-,/.#!C;&%&qI0(+,{O5"!tB?'G:)()J0,/, @;!$%&qI3(+zL5, @9!E9'&)**J6/./v@9!rD:&+H1*-,,N= #"%$6j;N?+,{O7"!"uE<$F2()+M4,/ wC9&D=&+)K1.-.yA: #E9$F5(+(,L5- wC?'sG:)(+*-,/.#!C;&%&qI0(+,{O5"!"uE>$F4()J1,/, @8!rD;&)()+M4,/A;!#rD=$(K7/{O2! #"%$'98:M>,-xN8#"#rD?%I3+(,L7- !tB>'G<)**J6/.yA:!uE9'&)(+*/-O7"!"uE<$'(K1.-O4"wC?%$%F5(+(,L7- @8 $sG<+K7-,/.! =27B3'(K3.-.yA8 uE?'$(H3),{O5#!C8'%G;+H7*-,/.!""B<'&'~H3),L4- wC8&%pF4+|J1,/.!""B='&qI3)+,{O4"!C?&sG:)()+M6,/v@>!E8'&)(+*-,5e3F7#$sG?*)*}M4-/A8 uE?'&*H1*-..N8#"#rD?%I3+(,L7- wC9'D=&)(+*73=H5!"uE=$'~H3),L4-A:#"%&&F0+*+zL7-v@8"'%G>*)K1.{O4! #"%?:4O<*+zL6- wC:&%G=+H1*-,-/A9 #E<$F2(+*61=H5!"uE=$'~H3)M6/.#!C;&%G>*)K1DL5.! #:86A2(K3.-O6"!C9LD=&)(04?J;/v@:!$sG>*)*}M7,/v@9 rD:&)**J6/O4! #"m6A2(K3.L7.j2E6$sG?*K2-1=HJ\L87B@J4:MSEA4<G[90C_<<;NP07>IU<:1D^8=4OTV2?U5<X8=87]?73:RB@1=WI[^37]FVSHABH0=WG^OY:6^\DNLF3>TBEF\NH<>4RDOLR@BX\[KXDNOA3:PLI7=T8>1X<RGMUG08W41<[8UBNXH>1:QKV@AXMQ^YMNZPNFAf?VNUMNUFECQ\I[G:?VO@WJE^BY=4SOI0?VTDl2YYORFFESCQGM_13Z^JXX]7;RVVGNYF\:1_===4T050?Q7?:2^2j6ZNRFGW[UGU\]S[I55[ESOJJDJ33]S[I>5Z1618Q4>d3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJMc=_DQCT="VCXH]24*723QFSER? XMZJ[46(PERBS??:;YN[MZ7(PERBS<> XMZJ[6723QFSER? XMZJ[46(PERES?h4XMZJ[4)_DQCT=?!>5:ZO\LY6'QFSER?=/YN[MZ76=2RGTDQ>/YN[MZ75'QFSER<>5:ZO\LY6'QFSER?=/YN[MZ56=2RGTDQ>/YN[MZ75'QFSER:>5:ZO\LY6'QFSER?=/YN[MZ36=2RGTDQ>/YN[MZ75'QFSER8>5:ZO\LY6'QFSER?=/YN[MZ16=2RGTDQ>/YN[MZ75'QFSBR?>5:ZO\LY6'QFSER?=/YN[JZ46=2RGTDQ>/YN[MZ75'QFSBR=>5:ZO\LY6'QFSER?=/YN[JZ26=2RGTDQ>/YN[MZ75'QFSBR;>5:ZO\LY6'QFSER?=/YN[JZ0b3QFSER? XMZJ[=)6<2RGTDQ>/YN[MZ>(PERBS<?;;YN[MZ7(PERBS5!WLYK\642<PERBS<!WLYK\<*^KP@U8=95WLYK\5*^KP@U3#UBWI^620>^KP@U:#UBWI^:,\I^NW<;?7UBWI^3,\I^NW1%S@UGP6068\I^NW8%S@UGP8.ZO\LY09=1S@UGP1.ZO\LY?'QFSER6>4:ZO\LY6'QFSER6 XMZJ[<733QFSER? XMZJ[=)_DQDT=<;4XMZJ[4)_DQCT4"VCXO]2743<PERBS<!WLYK\<*^KPGU::<;4XMZJ[4)_DQCT4"VCXO]2342<PERBS<!WLYK\<*^KPGU9=95WLYK\5*^KP@U3#UBWN^620>^KP@U:#UBWI^:,\I^IW<;?7UBWI^3,\I^NW1%S@U@P8d9[H]OX9&RGTDQ6/068\I^NW8%S@UGP9.ZO\LY69=1S@UGP1.ZO\LY>'QFSER<>4:ZO\LY6'QFSER7 XMZJ[6733QFSER? XMZJ[<)_DQCT8<:4XMZJ[4)_DQCT5"VCXH]:51=_DQCT="VCXH]:+]J_FV;:86VCXH]2+]J_AV3$TAVA_337?]J_AV;$TAVF_8-[H]HX;8>0TAVF_0-[H]OX1&RGTCQ8e:ZO\LY5'QFSER? 159[H]OX:&RGTDQ>/YN[MZ76<2RGTDQ=/YN[MZ7(PERBS??;;YN[MZ4(PERBS<!WLYK\742<PERBS?!WLYK\5*^KP@U?=95WLYK\6*^KP@U:#UBWI^720>^KP@U9#UBWI^3,\I^NW?;?7UBWI^0,\I^NW8%S@UGP7068\I^NW;%S@UGP1.ZO\KY69=1S@UGP2.ZO\LY6'QFSBR<>4:ZO\LY5'QFSER? XMZM[6733QFSER< XMZJ[4)_DQDT9<:4XMZJ[7)_DQCT="VCXO]551=_DQCT>"VCXH]2+]J_FV=n7UBWI^0,\I^NW;%:86VCXH]1+]J_AV8$TAVF_037?]J_AV8$TAVF_3-[H]OX:8>0TAVF_3-[H]OX:&RGTDQ<159[H]OX:&RGTDQ=/YN[MZ26<2RGTDQ=/YN[MZ4(PERBS8?;;YN[MZ4(PERBS?!WLYK\242<PERBS?!WLYK\6*^KP@U<=95WLYK\6*^KP@U9#UBWN^320>^KP@U9#UBWI^0,\I^IW;;?7UBWI^0,\I^NW;%S@U@P3068\I^NW;%S@UGP2.ZO\KY29=1S@UGP2.ZO\LY5'QFSBR8>4:ZO\LY5'QFSER< XMZM[2c<PERBS?!WLYK\7*733QFSER< XMZJ[6)_DQCT=<;4XMZJ[7)_DQCT?"VCXH]2543<PERBS?!WLYK\7*^KP@U:><;4XMZJ[7)_DQCT?"VCXH]2743<PERBS?!WLYK\7*^KP@U:8<;4XMZJ[7)_DQCT?"VCXH]2143<PERBS?!WLYK\7*^KP@U::<:4XMZJ[7)_DQCT?"VCXH]151=_DQCT>"VCXH]0+]J_AV9:86VCXH]1+]J_AV9$TAVF_537?]J_AV8$TAVF_2-[H]OX=8>0TAVF_3-[H]OX;&RGTDQ9159[H]OX:&RGTDQ</YN[MZ16<2RGTDQ=/YN[MZ5(PERBS4?;;YN[MZ4(PERBS>!WLYL\543<PERBS?!WLYK\7*^KPGU:4<;4XMZJ[7)_DQCT?"VCXO]2=42<PERBS?!WLYK\7*^KPGU9=85WLYK\6*^KP@U8#UBWN^0350=_DQCT>"VCXH]0+]J_FV8:=85WLYK\6*^KP@U8#UBWN^0150=_DQCT>"VCXH]0+]J_FV88=85WLYK\6*^KP@U8#UBWN^0751=_DQCT>"VCXH]0+]J_FV9:86VCXH]1+]J_AV9$TAVA_437?]J_AV8$TAVF_2-[H]HX>8>0TAVF_3-[H]OX;&RGTCQ8e:ZO\LY5'QFSER: 159[H]OX:&RGTDQ;/YN[MZ76<2RGTDQ=/YN[MZ2(PERBS??;;YN[MZ4(PERBS9!WLYK\742<PERBS?!WLYK\0*^KP@U?=95WLYK\6*^KP@U?#UBWI^720>^KP@U9#UBWI^6,\I^IW8;?7UBWI^0,\I^NW=%S@U@P3068\I^NW;%S@UGP4.ZO\KY39=1S@UGP2.ZO\LY3'QFSBR;i;YN[MZ5(PERBS<> 149[H]OX;&RGTDQ>0.ZO\LY69<1S@UGP3.ZO\LY68&RGTDQ=149[H]OX;&RGTDQ>0.ZO\LY49<1S@UGP3.ZO\LY68&RGTDQ;149[H]OX;&RGTDQ>0.ZO\LY29<1S@UGP3.ZO\LY68&RGTDQ9149[H]OX;&RGTDQ>0.ZO\LY09?1S@UGP3.ZO\LY68&RGTCQ>0048\I^NW:%S@UGP11-[H]HX9;;=7UBWI^1,\I^NW8:$TAVA_0422>^KP@U8#UBWI^33+]J_FV;<=85WLYK\7*^KP@U:<"VCXO]450=_DQCT?"VCXH]24*^KPGU2j6VCXH]0+]J_AV;9#<84XMZJ[6)_DQCT=?!WLYK\57723QFSER= XMZJ[44(PERBS8?:;YN[MZ5(PERBS<< XMZJ[<723QFSER= XMZJ[44(PERES5?:;YN[MZ5(PERBS<< XMZM[<c<PERBS>!WLYK\<*723QFSER= XMZJ[=)_DQCT==?:;YN[MZ5(PERBS5!WLYK\57733QFSER= XMZJ[=)_DQCT4<:4XMZJ[6)_DQCT4"VCXH]:50=_DQCT?"VCXH];+]J_FV;?=85WLYK\7*^KP@U3#UBWN^3650=_DQCT?"VCXH];+]J_FV;<i6VCXH]0+]J_AV3$=95WLYK\7*^KP@U2#UBWI^320>^KP@U8#UBWI^;,\I^NW;;?7UBWI^1,\I^NW0%S@UGP3068\I^NW:%S@UGP9.ZO\LY39=1S@UGP3.ZO\LY>'QFSER;>4:ZO\LY4'QFSER7 XMZJ[3733QFSER= XMZJ[<)_DQCT;<:4XMZJ[6)_DQCT5"VCXO]251=_DQCT?"VCXH]:+]J_FV8:86VCXH]0+]J_AV3$TAVA_237?]J_AV9$TAVF_8-[H]HX<8>0TAVF_2-[H]OX1&RGTCQ:159[H]OX;&RGTDQ6/YN[JZ013QFSER:8;YN[MZ2(n2RGTDQ;/Lov|ZI6911S@UGP4^25?]J_AV?<7UBWI^7,b>^KP@U>#@czx^M25==_DQCT9R?9;YN[MZ003QFSER8 f:ZO\LY1'Dg~tRA>199[H]OX>V8=7UBWI^54?]J_AV=$j6VCXH]4+HkrpVE:=55WLYK\3Z513QFSER68;YN[MZ?(k2RGTDQ6/@]AEWe<PERBS4!M_CCQg>^KP@U2#NQMASa8\I^NW0%OSOO]e:ZO\LY>'QFSER=?e:ZO\LY>'QFSER=>e:ZO\LY>'QFSER==e:ZO\LY>'QFSER=<e:ZO\LY>'QFSER=;e:ZO\LY>'QFSER=:e:ZO\LY>'QFSER=8e:ZO\LY>'QFSER=7e:ZO\LY>'QFSER=6e:ZO\LY>'QFSER:?e:ZO\LY>'QFSER:>e:ZO\LY>'QFSER:=e:ZO\LY>'QFSER:<e:ZO\LY>'QFSER:;e:ZO\LY>'QFSER::e:ZO\LY>'QFSER:9e:ZO\LY>'QFSER:8e:ZO\LY>'QFSER:7e:ZO\LY>'QFSER:6d:ZO\LY>'QFSER;j;YN[MZ?(PERBS8>j;YN[MZ?(PERBS8?j;YN[MZ?(PERBS8<j;YN[MZ?(PERBS8=j;YN[MZ?(PERBS8:j;YN[MZ?(PERBS8;j;YN[MZ?(PERBS88j;YN[MZ?(PERBS89j;YN[MZ?(PERBS86k;YN[MZ?(PERBS;k4XMZJ[<)_DQCT:=k4XMZJ[<)_DQCT:<k4XMZJ[<)_DQCT:?k4XMZJ[<)_DQCT:>k4XMZJ[<)_DQCT:9k4XMZJ[<)_DQCT:8k4XMZJ[<)_DQCT:;k4XMZJ[<)_DQCT::k4XMZJ[<)_DQCT:5k4XMZJ[<)_DQCT:4j4XMZJ[<)_DQCT;h5WLYK\=*^KP@U<<h5WLYK\=*^KP@U<=h5WLYK\=*^KP@U<>h5WLYK\=*^KP@U<?i5WLYK\=*^KP@U3j6VCXH]:+]J_FV;;9k5WLYK\=*^KPGU:=>h4XMZJ[<)_DQDT=<:i;YN[MZ?(PERES<<:f:ZO\LY>'QFSBR?=6g9[H]OX1&RGTCQ>29d8\I^NW0%S@U@P13;e?]J_AV3$TAVA_013b>^KP@U2#UBWN^305c=_DQCT5"VCXO]200`<PERBS4!WLYL\510a3QFSER7 XMZM[420n2RGTDQ6/YN[JZ730o1S@UGP9.ZO\KY6<0l0TAVF_8-[H]HX9<;m7UBWI^;,\I^IW8?>j6VCXH]:+]J_FV;>:k5WLYK\=*^KPGU:95h4XMZJ[<)_DQDT=;>i;YN[MZ?(PERES<8=f:ZO\LY>'QFSBR?95d9[H]OX1&RGTCQ91d9[H]OX1&RGTCQ92d9[H]OX1&RGTCQ93d9[H]OX1&RGTCQ94d9[H]OX1&RGTCQ95d9[H]OX1&RGTCQ61d9[H]OX1&RGTCQ62d9[H]OX1&RGTCQ63d9[H]OX1&RGTCQ6469[H]HX9;=0TAVA_304?]J_FV88;6VCXO]102=_DQDT>894XMZM[7003QFSBR<87:ZO\KY50>1S@U@P3058\I^IW:9<7UBWN^173>^KPGU89:5WLYL\731<PERES>98;YN[JZ5??2RGTCQ<969[H]HX<8?0TCA]229[KW2<PFX9:6V\TMKA3>^T\VMEH;5Wdc]J``=_laUHc|Mlnahw46<PmbTOb|}Ndvtkwmt911SheQBaqAlvwEhfz~jbyZ}arj27>^c`VGj|Na}rLgqc`bSg8=0TifPM`r@kwtUmde}iiZ}arja?]boWYxbaDjwb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee;6Vkh^Vbcg=_ldUIecjfnf33?]bjWDkacXjrrklj46<PmgTAd``rWgqwlii;2Rxx>5VER68^@TH=2kTcxzn;`]lqqYHJ\L>7oQ`uuc8fZir|VEIYK;4c^mvpd=dWfSBLZF49g[jssi2nTcxzPOCWE1>cXg|~j7hQ`uu]LFP@23oUdyyo4f^mvpZIE]O?0kRazt`9d[jssWFH^J>5baq:8jbbe}`fo=;5|ew]pabYcazzTAX]JR^COQ1=tand27~ghn^MAQC><{ykyxl`98:zjhlh}g;37ubax^cvpjY7Wqni#n}{.y```xFGx<;:7MNw8;D93?7|[:;1=h=5888276651>08=>:>{o3f5?7<f8o96;5+1e79g7=z[;o1=h=5888276651>08=>:<;R41>4`2280:?>>=9680562?3Z8n6<h::08276651>08=>:m;R41>4`32;0:?>>=9680562b3Z<h6<h;:9:95657:0=1?<=:1:Q1a?7a<381=>=?2859745292n36=4>:3yP74<6m:0357?<310:3?56;=;0(<751g;8L6g<f?o18k=l1355?!0c28l27)8i:9:8rQ0e290:6<469zQ05?7b;3226<=<03;4>674<81/o<495:T2``<5s|=:6<5z7383?x"f;3>0(9:50:&76?7aj2.??7;l;c:94?752h?154uGbg9'ff<?3-km6>5+ad82a1=i9ml1<6g::188m40=831b=o4?::k07?6=3`kj6=44i`a94?=h13:17bl50;9l`?6=3fl1<75`1d494?=h9l21<75`1dc94?=h9li1<75`1dg94?=h9o:1<75`1g094?=h9o=1<75m1e695?7=83:p(om54d9K5a5<@kl0(<jk:0g6?!0628lj7c?kf;38 g5=02e?n7>5$0fg><c<3th::i4>:083>5}#jj0h<6F>d29Kfc=#9mn15:5+6082bd=i9ml1>6*m3;:8k<`=83.:hi46e:9~fa1=93>1<7>t$ca9=g=O9m90Doh4$0fg><?<,?;1=ko4n0fe>6=#j:0o96g:1;29 4bc2<207d;=:18'5ab==110e8=50;&2`a<2021d4:4?:%3g`??b32wihl4>:283>5}#jj02m6F>d29Kfc=#9mn1545+6082bd=i9ml186*m3;f6?l36290/=ij55998m04=83.:hi4:8:9l<2<72-;oh77j;:a`f<62;0;6=u+bb86f>N6l:1Cnk5+1ef95==#>80:jl5a1ed91>"e;3n>7d;?:18'5ab==110c5950;&2`a<>m2B:hn54}cfa>4<5290;w)ll:4`8L4b43Ahm7)?kd;3;?!0628lj7c?kf;48 g5=l<1b9=4?:%3g`?3?32e3;7>5$0fg><c<@8nh76smd882>6<729q/nn4>2:J2`6=Ojo1/=ij5109'24<6nh1e=ih57:&a7?b23`?:6=4+1ef91==<a<81<7*>de86<>=h0>0;6)?kd;;f?>{elm0:6?4?:1y'ff<2j2B:h>5Gbg9'5ab=911/:<4>f`9m5a`=02.i?7j:;h73>5<#9mn19554o9594?"6lm02i6F>db98ygb?28086=4?{%``>44<@8n87Eli;%3g`?763-<:6<hn;o3gb??<,k91h85f5083>!7cl3?376g:2;29 4bc2<207b68:18'5ab=1l10qojj:081>5<7s-hh68l4H0f0?Mda3-;oh7?7;%42>4`f3g;oj7o4$c19`0=n=90;6)?kd;7;?>i??3:1(<jk:8g8L4bd32wih;4>:483>5}#jj0:86F>d29Kfc=#9mn1=<5+6082bd=i9ml1n6*m3;f6?l36290/=ij55998m04=83.:hi4:8:9j16<72-;oh7;7;:k60?6=,8no6864;n:4>5<#9mn15h54}cg;>4<3290;w)ll:8`8L4b43Ahm7)?kd;;:?!0628lj7c?kf;a8 g5=m>1b9<4?:%3g`?3?32c>>7>5$0fg>0><3`?86=4+1ef91==<g1=1<7*>de8:a>=zjlk1=7<50;2x ge==k1C=i=4Hcd8 4bc2820(;?51gc8j4ba2m1/n>4j7:k64?6=,8no6864;n:4>5<#9mn15h5G1ea8?xdbk3;1>7>50z&ag?3e3A;o?6Fmf:&2`a<602.==7?ia:l2`c<b3-h86h94i4294?"6lm0>465`8683>!7cl33n7E?kc:9~f`?=93>1<7>t$ca956=O9m90Doh4$0fg>47<,?;1=ko4n0fe>c=#j:0n;6g:1;29 4bc2<207d;=:18'5ab==110e8=50;&2`a<2021d4:4?:%3g`??b32wiio4>:383>5}#jj0>n6F>d29Kfc=#9mn1=55+6082bd=i9ml1==5+b28f3>o283:1(<jk:4:8?j>0290/=ij59d9K5ae<3thh87?53;294~"ek3;97E?k3:Jab>"6lm0:=6*91;3ee>h6lo0:=6*m3;a0?l36290/=ij55998m04=83.:hi4:8:9l<2<72-;oh77j;:ag0<62=0;6=u+bb8;4>N6l:1Cnk5+1ef9=<=#>80:jl5a1ed957=#j:0h?6g:1;29 4bc2<207d;=:18'5ab==110e8=50;&2`a<2021d4:4?:%3g`??b3A;oo65rbbg95?2=83:p(om59c9K5a5<@kl0(<jk:8;8 37=9ok0b<ji:018 g5=k>1b9<4?:%3g`?3?32c>>7>5$0fg>0><3`?86=4+1ef91==<g1=1<7*>de8:a>=zjjh1=7<50;2x ge==k1C=i=4Hcd8 4bc2820(;?51gc8j4ba28>0(o=5c69j15<72-;oh7;7;:m;3?6=,8no64k4H0f`?>{ek10:694?:1y'ff<6;2B:h>5Gbg9'5ab=981/:<4>f`9m5a`=9<1/n>4l7:k65?6=,8no6864;h71>5<#9mn19554i4194?"6lm0>465`8683>!7cl33n76smcb82>7<729q/nn4:b:J2`6=Ojo1/=ij5199'24<6nh1e=ih5179'f6<d?2c><7>5$0fg>0><3f2<6=4+1ef9=`=O9mi07plla;397?6=8r.io7?=;I3g7>Nen2.:hi4>1:&55?7ai2d:hk4>7:&a7?e03`?:6=4+1ef91==<a<81<7*>de86<>=h0>0;6)?kd;;f?>{ek00:6>4?:1y'ff<6:2B:h>5Gbg9'5ab=981/:<4>f`9m5a`=911/n>4l7:k65?6=,8no6864;h71>5<#9mn19554o9594?"6lm02i65rbbf95?4=83:p(om55c9K5a5<@kl0(<jk:0:8 37=9ok0b<ji:0;8 g5=k>1b9=4?:%3g`?3?32e3;7>5$0fg><c<@8nh76sm11195?2=83:p(om59c9K5a5<@kl0(<jk:8;8 37=9ok0b<ji:0c8 g5=no1b9<4?:%3g`?3?32c>>7>5$0fg>0><3`?86=4+1ef91==<g1=1<7*>de8:a>=zj8::6<4;:183!dd2890D<j<;I`e?!7cl3;:7)8>:0db?k7cn3;i7)l<:gd8m07=83.:hi4:8:9j17<72-;oh7;7;:k67?6=,8no6864;n:4>5<#9mn15h54}c332?7=:3:1<v*mc;7a?M7c;2Bij6*>de82<>"193;mm6`>dg82g>"e;3lm7d;?:18'5ab==110c5950;&2`a<>m2B:hn54}c330?7=:3:1<v*mc;7a?M7c;2Bij6*>de82<>"193;mm6`>dg82`>"e;3lm7d;?:18'5ab==110c5950;&2`a<>m2B:hn54}c334?7=<3:1<v*mc;30?M7c;2Bij6*>de825>"193;mm6`>dg82a>"e;3lm7d;>:18'5ab==110e8<50;&2`a<2021b9>4?:%3g`?3?32e3;7>5$0fg><c<3th:<84>:383>5}#jj0>n6F>d29Kfc=#9mn1=55+6082bd=i9ml1=k5+b28eb>o283:1(<jk:4:8?j>0290/=ij59d9K5ae<3th:<?4>:583>5}#jj0:?6F>d29Kfc=#9mn1=<5+6082bd=i9ml1>=5+b28eb>o293:1(<jk:4:8?l35290/=ij55998m05=83.:hi4:8:9l<2<72-;oh77j;:ab0<62=0;6=u+bb8:f>N6l:1Cnk5+1ef9=<=#>80:jl5a1ed964=#j:0m=6g:1;29 4bc2<207d;=:18'5ab==110e8=50;&2`a<2021d4:4?:%3g`??b32wij>4>:583>5}#jj0:?6F>d29Kfc=#9mn1=<5+6082bd=i9ml1>?5+b28e5>o293:1(<jk:4:8?l35290/=ij55998m05=83.:hi4:8:9l<2<72-;oh77j;:ab=<62;0;6=u+bb86f>N6l:1Cnk5+1ef95==#>80:jl5a1ed966=#j:0m=6g:0;29 4bc2<207b68:18'5ab=1l1C=im4;|`e2?7=:3:1<v*mc;7a?M7c;2Bij6*>de82<>"193;mm6`>dg810>"e;3l:7d;?:18'5ab==110c5950;&2`a<>m2B:hn54}cd1>4<3290;w)ll:018L4b43Ahm7)?kd;32?!0628lj7c?kf;06?!d42o;0e8?50;&2`a<2021b9?4?:%3g`?3?32c>?7>5$0fg>0><3f2<6=4+1ef9=`=<ukl<6<4=:183!dd2<h0D<j<;I`e?!7cl3;37)8>:0db?k7cn38=7)l<:g38m06=83.:hi4:8:9l<2<72-;oh77j;I3gg>=zjo>1=7:50;2x ge=9:1C=i=4Hcd8 4bc28;0(;?51gc8j4ba2;=0(o=5f09j14<72-;oh7;7;:k66?6=,8no6864;h70>5<#9mn19554o9594?"6lm02i65rb03a>4<4290;w)ll:8c8L4b43Ahm7)?kd;;:?!0628lj7c?kf;0;?!d428:o7d;>:18'5ab==110e8<50;&2`a<2021d4:4?:%3g`??b32wi=<>51;194?6|,ki15l5G1e18Lg`<,8no6474$7395cg<f8nm6?74$c1955b<a<;1<7*>de86<>=n=;0;6)?kd;7;?>i??3:1(<jk:8g8?xd69>0:694?:1y'ff<>j2B:h>5Gbg9'5ab=101/:<4>f`9m5a`=:h1/n>4>0e9j14<72-;oh7;7;:k66?6=,8no6864;h70>5<#9mn19554o9594?"6lm02i65rb030>4<5290;w)ll:4`8L4b43Ahm7)?kd;3;?!0628lj7c?kf;0a?!d428:o7d;?:18'5ab==110c5950;&2`a<>m2B:hn54}c321?7=<3:1<v*mc;30?M7c;2Bij6*>de825>"193;mm6`>dg81g>"e;3;;h6g:1;29 4bc2<207d;=:18'5ab==110e8=50;&2`a<2021d4:4?:%3g`??b32wi=<o51;094?6|,ki19o5G1e18Lg`<,8no6<64$7395cg<f8nm6?j4$c1955b<a<:1<7*>de86<>=h0>0;6)?kd;;f?M7ck21vn<?;:081>5<7s-hh68l4H0f0?Mda3-;oh7?7;%42>4`f3g;oj7<j;%`0>46c3`?;6=4+1ef91==<g1=1<7*>de8:a>N6lj10qo?>2;396?6=8r.io7;m;I3g7>Nen2.:hi4>8:&55?7ai2d:hk4=f:&a7?77l2c><7>5$0fg>0><3f2<6=4+1ef9=`=O9mi07pl>1982>7<729q/nn4:b:J2`6=Ojo1/=ij5199'24<6nh1e=ih5319'f6<68m1b9=4?:%3g`?3?32e3;7>5$0fg><c<@8nh76sm11g95?2=83:p(om5129K5a5<@kl0(<jk:038 37=9ok0b<ji:238 g5=99n0e8?50;&2`a<2021b9?4?:%3g`?3?32c>?7>5$0fg>0><3f2<6=4+1ef9=`=<uk;:=7?55;294~"ek3=n7E?k3:Jab>"6lm0:=6*91;3ee>h6lo08>6*m3;33`>o293:1(<jk:4:8?l35290/=ij55998m05=83.:hi4:8:9j11<72-;oh7;7;:m;3?6=,8no64k4H0f`?>{e99l1=7;50;2x ge=9=1C=i=4Hcd8 4bc28;0(;?51gc8j4ba2:90(o=511f8m07=83.:hi4:8:9j17<72-;oh7;7;:k67?6=,8no6864;h77>5<#9mn19554o9594?"6lm02i65rb03:>4<5290;w)ll:4`8L4b43Ahm7)?kd;3;?!0628lj7c?kf;17?!d428:o7d;?:18'5ab==110c5950;&2`a<>m2B:hn54}c322?7=<3:1<v*mc;30?M7c;2Bij6*>de825>"193;mm6`>dg801>"e;3;;h6g:1;29 4bc2<207d;=:18'5ab==110e8=50;&2`a<2021d4:4?:%3g`??b32wi=?j51;194?6|,ki15l5G1e18Lg`<,8no6474$7395cg<f8nm6>84$c1957?<a<;1<7*>de86<>=n=;0;6)?kd;7;?>i??3:1(<jk:8g8?xd6:k0:6>4?:1y'ff<6:2B:h>5Gbg9'5ab=981/:<4>f`9m5a`=;>1/n>4>289j14<72-;oh7;7;:k66?6=,8no6864;n:4>5<#9mn15h54}c31g?7=<3:1<v*mc;5g?M7c;2Bij6*>de825>"193;mm6`>dg80<>"e;3;956g:1;29 4bc2<207d;=:18'5ab==110e8=50;&2`a<2021d4:4?:%3g`??b3A;oo65rb00f>4<5290;w)ll:4`8L4b43Ahm7)?kd;3;?!0628lj7c?kf;1:?!d428827d;?:18'5ab==110c5950;&2`a<>m2B:hn54}c31e?7=;3:1<v*mc;3g4>N6l:1Cnk5+1ef95a7<,?;1=ko4n0fe>6g<,k91=?74i4394?"6lm0>465f5383>!7cl3?376a77;29 4bc20o0D<jl;:a51g=93>1<7>t$ca956=O9m90Doh4$0fg>47<,?;1=ko4n0fe>6d<,k91=994i4394?"6lm0>465f5383>!7cl3?376g:3;29 4bc2<207b68:18'5ab=1l10qo?;8;396?6=8r.io7;m;I3g7>Nen2.:hi4>8:&55?7ai2d:hk4<c:&a7?73?2c><7>5$0fg>0><3f2<6=4+1ef9=`=O9mi07pl>4c82>7<729q/nn4:b:J2`6=Ojo1/=ij5199'24<6nh1e=ih53e9'f6<6<>1b9=4?:%3g`?3?32e3;7>5$0fg><c<@8nh76sm15;95?5=83:p(om59`9K5a5<@kl0(<jk:8;8 37=9ok0b<ji:2g8 g5=9==0e8?50;&2`a<2021b9?4?:%3g`?3?32e3;7>5$0fg><c<3th:9>4>:583>5}#jj02n6F>d29Kfc=#9mn1545+6082bd=i9ml1?k5+b2820c=n=80;6)?kd;7;?>o2:3:1(<jk:4:8?l34290/=ij55998k=1=83.:hi46e:9~f435280?6=4?{%``>45<@8n87Eli;%3g`?763-<:6<hn;o3gb?273-h86<:i;h72>5<#9mn19554i4094?"6lm0>465f5283>!7cl3?376a77;29 4bc20o07pl>5582>7<729q/nn4:b:J2`6=Ojo1/=ij5199'24<6nh1e=ih5409'f6<6<o1b9=4?:%3g`?3?32e3;7>5$0fg><c<@8nh76sm14795?4=83:p(om55c9K5a5<@kl0(<jk:0:8 37=9ok0b<ji:508 g5=9=l0e8>50;&2`a<2021d4:4?:%3g`??b3A;oo65rb073>4<4290;w)ll:008L4b43Ahm7)?kd;32?!0628lj7c?kf;60?!d428>m7d;>:18'5ab==110e8<50;&2`a<2021d4:4?:%3g`??b32wi=8851;094?6|,ki19o5G1e18Lg`<,8no6<64$7395cg<f8nm69:4$c1951`<a<:1<7*>de86<>=h0>0;6)?kd;;f?M7ck21vn<;>:080>5<7s-hh6<<4H0f0?Mda3-;oh7?>;%42>4`f3g;oj7::;%`0>42a3`?:6=4+1ef91==<a<81<7*>de86<>=h0>0;6)?kd;;f?>{e9:<1=7=50;2x ge=1h1C=i=4Hcd8 4bc2030(;?51gc8j4ba2=<0(o=51218m07=83.:hi4:8:9j17<72-;oh7;7;:m;3?6=,8no64k4;|`271<62:0;6=u+bb826>N6l:1Cnk5+1ef954=#>80:jl5a1ed902=#j:0:?>5f5083>!7cl3?376g:2;29 4bc2<207b68:18'5ab=1l10qo?<8;396?6=8r.io7;m;I3g7>Nen2.:hi4>8:&55?7ai2d:hk4;8:&a7?74;2c><7>5$0fg>0><3f2<6=4+1ef9=`=O9mi07pl>3482>1<729q/nn4>3:J2`6=Ojo1/=ij5109'24<6nh1e=ih5489'f6<6;:1b9<4?:%3g`?3?32c>>7>5$0fg>0><3`?86=4+1ef91==<g1=1<7*>de8:a>=zj8926<4=:183!dd2<h0D<j<;I`e?!7cl3;37)8>:0db?k7cn3>j7)l<:010?l37290/=ij55998k=1=83.:hi46e:J2`f=<uk;8m7?52;294~"ek3?i7E?k3:Jab>"6lm0:46*91;3ee>h6lo0?n6*m3;307>o283:1(<jk:4:8?j>0290/=ij59d9K5ae<3th:?:4>:583>5}#jj02n6F>d29Kfc=#9mn1545+6082bd=i9ml18n5+b28276=n=80;6)?kd;7;?>o2:3:1(<jk:4:8?l34290/=ij55998k=1=83.:hi46e:9~f42528096=4?{%``>0d<@8n87Eli;%3g`?7?3-<:6<hn;o3gb?2c3-h86<:>;h73>5<#9mn19554o9594?"6lm02i6F>db98yg73<3;1?7>50z&ag?1d3A;o?6Fmf:&2`a<692.==7?ia:l2`c<3m2.i?7?;1:k65?6=,8no6864;h71>5<#9mn19554o9594?"6lm02i6F>db98yg73;3;187>50z&ag??e3A;o?6Fmf:&2`a<>12.==7?ia:l2`c<3n2.i?7?;1:k65?6=,8no6864;h71>5<#9mn19554i4194?"6lm0>465`8683>!7cl33n76sm17;94?>=93=pDoh4$ca92d=#<o0::n5a1ed915=n;=0;66g<5;29?l512900e>950;9j04<722cjm7>5;hc`>5<<g1=1<75m7282>2<729q/nn497:J2`6=Ojo1/=ij5a89'24<6nh1/9l4<9:l2`c<292.i?7?9a:k65?6=,8no6:5a1e`94>=n=;0;6)?kd;58j4be2810e8=50;&2`a<03g;on7<4;h77>5<#9mn1;6`>dc80?>o2=3:1(<jk:69m5ad=<21b9;4?:%3g`?1<f8ni6854o9594?"6lm02i65rs2694?4|V:>01:=5549~w63=838pR>;4=61911=z{:<1<7<t^248925==?1v>950;0xZ61<5>919?5rs`c94?4|Vhk01:=5509~wde=838pRlm4=61916=z{1=1<7<t^958925=0>1vqo?95;29<?7=?rBij6*mc;4b?!2a28<37c?kf;71?l532900e>;50;9j73<722c8;7>5;h62>5<<ahk1<75fab83>>i??3:17o9<:084>5<7s-hh6;94H0f0?Mda3-;oh7o6;%42>4`f3-?j6>74n0fe>05<,k91=;84i4394?"6lm0<7c?kb;28?l35290/=ij57:l2`g<632c>?7>5$0fg>2=i9mh1>65f5583>!7cl3=0b<jm:298m03=83.:hi48;o3gf?2<3`?=6=4+1ef93>h6lk0>76a77;29 4bc20o07p}<4;296~X4<27<?7;:;|q01?6=:rT896383;77?xu4>3:1>vP<6:?47?313ty8;7>52z\03>;0;3?97p}na;296~Xfi27<?7;>;|qbg?6=:rTjo6383;70?xu??3:1>vP77:?47?>03twi=;?50;:95?1|@kl0(om56`9'0c<6>=1e=ih5559j71<722c897>5;h15>5<<a:=1<75f4083>>ofi3:17dol:188k=1=831i;>4>:683>5}#jj0=;6F>d29Kfc=#9mn1m45+6082bd=#=h0856`>dg861>"e;3;=>6g:1;29 4bc2>1e=il50:9j17<72-;oh794n0fa>4=<a<91<7*>de84?k7cj3807d;;:18'5ab=?2d:ho4<;:k61?6=,8no6:5a1e`90>=n=?0;6)?kd;58j4be2<10c5950;&2`a<>m21v>:50;0xZ62<5>91985rs2794?4|V:?01:=5559~w60=838pR>84=61913=z{:=1<7<t^258925==;1vlo50;0xZdg<5>919<5rs`a94?4|Vhi01:=5529~w=1=838pR594=619<2=zuk;>h7>58;393~Nen2.io78n;%6e>4073g;oj7;9;h17>5<<a:?1<75f3783>>o4?3:17d:>:188mdg=831bmn4?::m;3?6=3k=86<48:183!dd2?=0D<j<;I`e?!7cl3k27)8>:0db?!3f2:30b<ji:458 g5=9<o0e8?50;&2`a<03g;on7>4;h71>5<#9mn1;6`>dc82?>o2;3:1(<jk:69m5ad=:21b994?:%3g`?1<f8ni6>54i4794?"6lm0<7c?kb;68?l31290/=ij57:l2`g<232e3;7>5$0fg><c<3ty887>52z\00>;0;3?>7p}<5;296~X4=27<?7;;;|q02?6=:rT8:6383;75?xu4?3:1>vP<7:?47?353tyjm7>52z\be>;0;3?:7p}nc;296~Xfk27<?7;<;|q;3?6=:rT3;6383;:4?x{e9h:1=7<50;2x ge=911C=i=4Hcd8 4bc2820(;?51gc8j4ba2<20(o=517g8m06=83.:hi4:8:9l<2<72-;oh77j;:a5<d=9381<7>t$ca95==O9m90Doh4$0fg>4><,?;1=ko4n0fe>0?<,k91=;k4i4294?"6lm0>465`8683>!7cl33n76sm18295?4=83:p(om5199K5a5<@kl0(<jk:0:8 37=9ok0b<ji:4c8 g5=9?o0e8>50;&2`a<2021d4:4?:%3g`??b32wi=5851;094?6|,ki1=55G1e18Lg`<,8no6<64$7395cg<f8nm68l4$c1953c<a<:1<7*>de86<>=h0>0;6)?kd;;f?>{e9031=7<50;2x ge==k1C=i=4Hcd8 4bc2820(;?51gc8j4ba2<i0(o=517g8m06=83.:hi4:8:9l<2<72-;oh77j;I3gg>=zj83j6<4=:183!dd2<h0D<j<;I`e?!7cl3;37)8>:0db?k7cn3?o7)l<:04f?l37290/=ij55998k=1=83.:hi46e:J2`f=<uk;2h7?52;294~"ek3?i7E?k3:Jab>"6lm0:46*91;3ee>h6lo0>i6*m3;35a>o283:1(<jk:4:8?j>0290/=ij59d9K5ae<3th:5n4>:383>5}#jj0>n6F>d29Kfc=#9mn1=55+6082bd=i9ml19k5+b2822`=n=90;6)?kd;7;?>i??3:1(<jk:8g8L4bd32wi=4951;094?6|,ki19o5G1e18Lg`<,8no6<64$7395cg<f8nm6;>4$c1953c<a<:1<7*>de86<>=h0>0;6)?kd;;f?M7ck21vn<7i:081>5<7s-hh68l4H0f0?Mda3-;oh7?7;%42>4`f3g;oj78>;%`0>40b3`?;6=4+1ef91==<g1=1<7*>de8:a>N6lj10qo?66;396?6=8r.io7;m;I3g7>Nen2.:hi4>8:&55?7ai2d:hk492:&a7?71m2c><7>5$0fg>0><3f2<6=4+1ef9=`=O9mi07pl>9982>7<729q/nn4:b:J2`6=Ojo1/=ij5199'24<6nh1e=ih5629'f6<6>l1b9=4?:%3g`?3?32e3;7>5$0fg><c<@8nh76sm19c95?5=83:p(om59`9K5a5<@kl0(<jk:8;8 37=9ok0b<ji:768 g5=9?o0e8?50;&2`a<2021b9?4?:%3g`?3?32e3;7>5$0fg><c<3th:4h4>:283>5}#jj0:>6F>d29Kfc=#9mn1=<5+6082bd=i9ml1:85+b2822`=n=80;6)?kd;7;?>o2:3:1(<jk:4:8?j>0290/=ij59d98yg70n3;1?7>50z&ag?753A;o?6Fmf:&2`a<692.==7?ia:l2`c<1>2.i?7?9e:k65?6=,8no6864;h71>5<#9mn19554o9594?"6lm02i65rb0::>4<2290;w)ll:8a8L4b43Ahm7)?kd;;:?!0628lj7c?kf;44?!d428<n7d;>:18'5ab==110e8<50;&2`a<2021b9>4?:%3g`?3?32c>87>5$0fg>0><3f2<6=4+1ef9=`=<uk;397?53;294~"ek3;97E?k3:Jab>"6lm0:=6*91;3ee>h6lo0=46*m3;35a>o293:1(<jk:4:8?l35290/=ij55998k=1=83.:hi46e:9~f4>728086=4?{%``>44<@8n87Eli;%3g`?763-<:6<hn;o3gb?0>3-h86<8j;h72>5<#9mn19554i4094?"6lm0>465`8683>!7cl33n76sm16795?5=83:p(om5139K5a5<@kl0(<jk:038 37=9ok0b<ji:7c8 g5=9?o0e8?50;&2`a<2021b9?4?:%3g`?3?32e3;7>5$0fg><c<3th:5<4>:483>5}#jj02o6F>d29Kfc=#9mn1545+6082bd=i9ml1:o5+b2822`=n=80;6)?kd;7;?>o2:3:1(<jk:4:8?l34290/=ij55998m02=83.:hi4:8:9l<2<72-;oh77j;:a5=`=93?1<7>t$ca9=f=O9m90Doh4$0fg><?<,?;1=ko4n0fe>3e<,k91=;k4i4394?"6lm0>465f5383>!7cl3?376g:3;29 4bc2<207d;;:18'5ab==110c5950;&2`a<>m21vn<9k:086>5<7s-hh64m4H0f0?Mda3-;oh776;%42>4`f3g;oj78k;%`0>40b3`?:6=4+1ef91==<a<81<7*>de86<>=n=:0;6)?kd;7;?>o2<3:1(<jk:4:8?j>0290/=ij59d98yg7>m3;1>7>50z&ag?3e3A;o?6Fmf:&2`a<602.==7?ia:l2`c<1m2.i?7?9e:k64?6=,8no6864;n:4>5<#9mn15h5G1ea8?xd6?>0:6;4?:1y'ff<>l2B:h>5Gbg9'5ab=101/:<4>f`9m5a`=>o1/n>4>6d9j14<72-;oh7;7;:k66?6=,8no6864;h70>5<#9mn19554i4694?"6lm0>465f5483>!7cl3?376a77;29 4bc20o07pl>8282>1<729q/nn46b:J2`6=Ojo1/=ij5989'24<6nh1e=ih5719'f6<6>l1b9<4?:%3g`?3?32c>>7>5$0fg>0><3`?86=4+1ef91==<g1=1<7*>de8:a>=zj8=h6<4=:183!dd2<h0D<j<;I`e?!7cl3;37)8>:0db?k7cn3=:7)l<:04f?l37290/=ij55998k=1=83.:hi46e:J2`f=<uk;287?53;294~"ek3;97E?k3:Jab>"6lm0:=6*91;3ee>h6lo0<>6*m3;35a>o293:1(<jk:4:8?l35290/=ij55998k=1=83.:hi46e:9~f4?428086=4?{%``>44<@8n87Eli;%3g`?763-<:6<hn;o3gb?143-h86<8j;h72>5<#9mn19554i4094?"6lm0>465`8683>!7cl33n76sm19595?5=83:p(om5139K5a5<@kl0(<jk:038 37=9ok0b<ji:668 g5=9?o0e8?50;&2`a<2021b9?4?:%3g`?3?32e3;7>5$0fg><c<3th:494>:283>5}#jj0:>6F>d29Kfc=#9mn1=<5+6082bd=i9ml1;85+b2822`=n=80;6)?kd;7;?>o2:3:1(<jk:4:8?j>0290/=ij59d98yg7?93;187>50z&ag?743A;o?6Fmf:&2`a<692.==7?ia:l2`c<0>2.i?7?9e:k65?6=,8no6864;h71>5<#9mn19554i4194?"6lm0>465`8683>!7cl33n76sm16`95?4=83:p(om55c9K5a5<@kl0(<jk:0:8 37=9ok0b<ji:658 g5=9?o0e8>50;&2`a<2021d4:4?:%3g`??b3A;oo65rb055>4<4290;w)ll:008L4b43Ahm7)?kd;32?!0628lj7c?kf;5;?!d428<n7d;>:18'5ab==110e8<50;&2`a<2021d4:4?:%3g`??b32wi=::51;694?6|,ki1=>5G1e18Lg`<,8no6<?4$7395cg<f8nm6:74$c1953c<a<;1<7*>de86<>=n=;0;6)?kd;7;?>o2;3:1(<jk:4:8?j>0290/=ij59d98yg7>:3;1?7>50z&ag?753A;o?6Fmf:&2`a<692.==7?ia:l2`c<0i2.i?7?9e:k65?6=,8no6864;h71>5<#9mn19554o9594?"6lm02i65rb0:g>4<3290;w)ll:018L4b43Ahm7)?kd;32?!0628lj7c?kf;5a?!d428<n7d;>:18'5ab==110e8<50;&2`a<2021b9>4?:%3g`?3?32e3;7>5$0fg><c<3th:4o4>:583>5}#jj0:?6F>d29Kfc=#9mn1=<5+6082bd=i9ml1;n5+b2822`=n=80;6)?kd;7;?>o2:3:1(<jk:4:8?l34290/=ij55998k=1=83.:hi46e:9~f4>?280?6=4?{%``>45<@8n87Eli;%3g`?763-<:6<hn;o3gb?1c3-h86<8j;h72>5<#9mn19554i4094?"6lm0>465f5283>!7cl3?376a77;29 4bc20o07pl>7`82>7<729q/nn4:b:J2`6=Ojo1/=ij5199'24<6nh1e=ih57d9'f6<6>l1b9=4?:%3g`?3?32e3;7>5$0fg><c<@8nh76sm16195?2=83:p(om5129K5a5<@kl0(<jk:038 37=9ok0b<ji:6d8 g5=9?o0e8?50;&2`a<2021b9?4?:%3g`?3?32c>?7>5$0fg>0><3f2<6=4+1ef9=`=<uk;297?53;294~"ek3;97E?k3:Jab>"6lm0:=6*91;3ee>h6lo03<6*m3;35a>o293:1(<jk:4:8?l35290/=ij55998k=1=83.:hi46e:9~f4>d280?6=4?{%``>45<@8n87Eli;%3g`?763-<:6<hn;o3gb?>63-h86<8j;h72>5<#9mn19554i4094?"6lm0>465f5283>!7cl3?376a77;29 4bc20o07pl>8382>6<729q/nn4>d39K5a5<@kl0(<jk:0f2?!0628lj7c?kf;:1?!d428<n7d;>:18'5ab==110e8<50;&2`a<2021d4:4?:%3g`??b32wi=:k51;194?6|,ki1=i>4H0f0?Mda3-;oh7?k1:&55?7ai2d:hk473:&a7?71m2c>=7>5$0fg>0><3`?96=4+1ef91==<g1=1<7*>de8:a>N6lj10qo?89;396?6=8r.io7;m;I3g7>Nen2.:hi4>8:&55?7ai2d:hk474:&a7?71m2c><7>5$0fg>0><3f2<6=4+1ef9=`=O9mi07pl>7982>6<729q/nn4>2:J2`6=Ojo1/=ij5109'24<6nh1e=ih5849'f6<6>l1b9<4?:%3g`?3?32c>>7>5$0fg>0><3f2<6=4+1ef9=`=<uk;>6<4=:183!dd2<=0D<j<;I`e?!7cl3;37E;k;%7f>0><,?;1=ko4n0fe>=0<,k9146g:0;29 4bc2<207b68:18'5ab=1l10qo?n:081>5<7s-hh6894H0f0?Mda3-;oh7?7;I7g?!3b2<20(;?51gc8j4ba21=0(o=58:k64?6=,8no6864;n:4>5<#9mn15h54}c11>4<5290;w)ll:458L4b43Ahm7)?kd;3;?M3c3-?n6864$7395cg<f8nm6564$c19<>o283:1(<jk:4:8?j>0290/=ij59d98yg5e28096=4?{%``>01<@8n87Eli;%3g`?7?3A?o7);j:4:8 37=9ok0b<ji:9;8 g5=02c><7>5$0fg>0><3f2<6=4+1ef9=`=<ukki6<4=:183!dd2<=0D<j<;I`e?!7cl3;37E;k;%7f>0><,?;1=ko4n0fe>=g<,k9146g:0;29 4bc2<207b68:18'5ab=1l10qook:081>5<7s-hh6894H0f0?Mda3-;oh7?7;I7g?!3b2<20(;?51gc8j4ba21h0(o=58:k64?6=,8no6864;n:4>5<#9mn15h54}cc95?4=83:p(om5979K5a5<@kl0(<jk:0:8L0b<,<o15h5+6082bd=i9ml14n5+b28;?l37290/=ij55998k=1=83.:hi46e:9~ff<62;0;6=u+bb8:2>N6l:1Cnk5+1ef95==O=m1/9h46e:&55?7ai2d:hk47d:&a7?><a<:1<7*>de86<>=h0>0;6)?kd;;f?>{em3;1>7>50z&ag??13A;o?6Fmf:&2`a<602B>h6*:e;;f?!0628lj7c?kf;:f?!d4211b9=4?:%3g`?3?32e3;7>5$0fg><c<3th:<7?52;294~"ek33=7E?k3:Jab>"6lm0:46F:d:&6a??b3-<:6<hn;o3gb?>a3-h8655f5183>!7cl3?376a77;29 4bc20o07pl>e682>7<729q/nn466:J2`6=Ojo1/=ij5199K1a=#=l02i6*91;3ee>h6lo02<6*m3;:8m06=83.:hi4:8:9l<2<72-;oh77j;:a5`?=9381<7>t$ca9=3=O9m90Doh4$0fg>4><@<n0(8k59d9'24<6nh1e=ih5909'f6<?3`?;6=4+1ef91==<g1=1<7*>de8:a>=zj8oi6<4=:183!dd20<0D<j<;I`e?!7cl3;37E;k;%7f><c<,?;1=ko4n0fe><4<,k9146g:0;29 4bc2<207b68:18'5ab=1l10qo?jd;396?6=8r.io779;I3g7>Nen2.:hi4>8:J6`>"2m33n7)8>:0db?k7cn3387)l<:99j15<72-;oh7;7;:m;3?6=,8no64k4;|`2ac<62;0;6=u+bb8:2>N6l:1Cnk5+1ef95==O=m1/9h46e:&55?7ai2d:hk464:&a7?><a<:1<7*>de86<>=h0>0;6)?kd;;f?>{e9o;1=7<50;2x ge=1?1C=i=4Hcd8 4bc2820D8j4$4g9=`=#>80:jl5a1ed9=0=#j:037d;?:18'5ab==110c5950;&2`a<>m21vn<h<:081>5<7s-hh6484H0f0?Mda3-;oh7?7;I7g?!3b20o0(;?51gc8j4ba20<0(o=58:k64?6=,8no6864;n:4>5<#9mn15h54}c3e<?7=:3:1<v*mc;;5?M7c;2Bij6*>de82<>N2l2.>i77j;%42>4`f3g;oj778;%`0>==n=90;6)?kd;7;?>i??3:1(<jk:8g8?xd2280:6=4?{%3g`?g53Ahm7E;6;%7f>0><,?91=n5a1ed9===ni;0;6)?kd;c1?>{e9?0:6<4?:1y'5ab=i;1Cnk5G589'1`<202.=?7?8;o3gb??>3`k96=4+1ef9e7=<uk;i6<4>:183!7cl3k97Eli;I7:?!3b2<20(;=56:l2`c<>i2cj>7>5$0fg>d4<3th8?7?51;294~"6lm0j>6Fmf:J6=>"2m3?37)8<:2:8j4ba20h0el<50;&2`a<f:21vnlo51;394?6|,8no6l<4Hcd8L0?<,<o1955+628a=>h6lo02o6gn2;29 4bc2h807plnc;395?6=8r.:hi4n2:Jab>N212.>i7;7;%40>g0<f8nm64j4i`094?"6lm0j>65rb882>4<729q/=ij5a39Kfc=O=01/9h46e:&57?1e3g;oj77j;nc1>5<#9mn1m?54}c`95?7=83:p(<jk:`08Lg`<@<30(8k59d9'26<0i2d:hk46f:mb6?6=,8no6l<4;|`g>4<6290;w)?kd;c1?Mda3A?27);j:8g8 35=i81e=ih5a19le7<72-;oh7o=;:ab?7=93:1<v*>de8b6>Nen2B>56*:e;;f?!042h:0b<ji:`38kd4=83.:hi4n2:9~f4c1280:6=4?{%3g`?g53Ahm7E;6;%7f><c<,?91n:5a1ed9e7=hi;0;6)?kd;c1?>{e9l21=7?50;2x 4bc2h80Doh4H4;8 0c=1l1/:>4m8:l2`c<f;2ej>7>5$0fg>d4<3th:il4>:083>5}#9mn1m?5Gbg9K1<=#=l02i6*93;`g?k7cn3k?7bo=:18'5ab=i;10qo?jc;395?6=8r.:hi4n2:Jab>N212.>i77j;%40>gc<f8nm6l;4o`094?"6lm0j>65rb0gf>4<6290;w)?kd;c1?Mda3A?27);j:8g8 35=>01e=ih5a79le7<72-;oh7o=;:a5c6=93;1<7>t$0fg>d4<@kl0D874$4g9=`=#>:0<56`>dg8b3>if:3:1(<jk:`08?xd6n;0:6<4?:1y'5ab=i;1Cnk5G589'1`<>m2.=?78?;o3gb?g?3fk96=4+1ef9e7=<uk;m;7?51;294~"6lm0j>6Fmf:J6=>"2m33n7)8<:7:8j4ba2h30cl<50;&2`a<f:21v<;50;368bd2<:01i85509>a<<2:27h87;=;<335?3434;;<7;<;<336?3434l868=4=g0916=:n=0>?63>12864>;69<0>?63>0d867>;6980>863>17867>;6<h0>=63>53867>;6;=0>>63>45866>;6=m08863>5;:4?xu6i3:1=5u2dc864>;c13?970kn:4289f3==:16on4:0:?`e?3634i268?4=025>06<58:;68<4=g:915=:n;0>>63>1`864>;68l0>>63>10867>;68o0>?63>2c866>;6:j0>?63>49864>;6==0><63>39864>;6;<0>=63>42867>;6>808863>a;:4?xu4:3:1=4u2de864>;c03?970j9:4089`e==916i44:3:?`1?3534io68>4=027>06<58:;68?4=g4915=:n;0>=63>15864>;69;0><63>19864>;68l0>=63>2b866>;6:h0>>63>4c864>;6=<0><63>51865>;6;00><63>36866>;6<:0>>63>64800>;4:32<7p}<b;295<}:ll0><63k6;77?8ce2<:01n;5509>gg<2827h47;>;<a;>04<58:>68>4=021>07<5o=19=52f5865>;6980>=63>0g865>;6900><63>17865>;6:l0><63>2`865>;6<00>>63>57864>;6=80>>63>3`864>;6;>0>?63>42865>;6>008863<b;:4?xufj3:19v3>688be>;6><0jm63>608be>;6=m0jm63nb;:4?xufl3:19v3>688bg>;6><0jo63>608bg>;6=m0jo63nd;:4?xu6kk0;6?u2cd8;3>;6>008:6s|1bc94?4|5mk14:52177973=z{8i26=4={<g;>=1<58<:6>84}r3`<?6=:r7h8768;<36`?513ty:on4?:3y>57b=0>16=;75369~w4ec2909w0?>b;:4?871=39<7p}>cd83>7}:99914:52173972=z{8im6=4={<d6>=1<58?o6>94}r3`1?6=:r7:84477:?224<4=2wx=n850;0x943421=01<8::278yv7d?3:1>v3>378;3>;6>00896s|1b694?4|58>?6594=07g>63<uz;h<7>57z?21a<??27:;54:2:?23<<2827:;h4:2:?2<7<2:27:4n4:3:?2=0<2:2wx=n?50;;x940621=01<9j:43894>52<;01<9<:438941f2<:01<67:41894>e2<801<6k:40894?52<80q~?l2;29e~;6><03;63>8b865>;6?=0>?63>77865>;6?k0><63>80867>;60=0>>63>86865>;61:0>>63>95866>{t9j91<79t=04:>=1<58=868=4=0:;>04<58=?68<4=0:2>04<58=h68>4=0:0>07<uzk1<7<t=0:5>=1<5h0><6s|c;296~;61903;63l:428yvc=838p1<7m:9589`<282wx==4?:3y>5d6=0>16==4:0:p5`1=838p1<79:95894c02<:0q~?j9;296~;61>03;63>e8864>{t9lh1<7<t=0;;>=1<58oi68>4}r3f`?6=:r7:5l477:?2aa<282wx=hh50;0x94?>21=01<ki:428yv7a93:1>v3>9b8;3>;6n80><6s|1g194?4|583o6594=0d0>06<uz;m47>52z?2=c<??27:j54:0:p5g`=832p1<8k:8d8940>2=;01<8::53894062=;01<;k:53894>12<:01<7?:42894?e2<:0q~97:18187c<3>i70?n0;73?xub;3:1>v3k7;:4?8b>2<;0q~k?:1818b02<901im5869~w`0=838p1il5869>`3<2;2wxi94?:3y>`d<2:27o5768;|qf5?6=:r7o;7;>;<fg>=1<uznm6=4={<f4>04<5m214:5rsd094?4|5m219<52dd8;3>{tm<0;6?u2d`865>;c>32<7p}je;296~;bi32<70k6:438yv`72909w0k7:4089`e=0>1vhj50;0x9`>==816i4477:pac<72;q6i54:3:?ff?>03tyh:7>52z?`0?3634i>6594}rae>5<5s4ii6594=b;917=z{m91<7<t=bg917=:k103;6s|d183>7}:k10>?63lc;:4?xuc<3:1>v3le;72?8ef21=0q~j=:1818eb2<901n75869~wa7=838p1no5539>ga<??2wx==650;0x94642<801<>>:958yv77k3:1>v3>078;3>;68;0>>6s|11c94?4|58::68<4=027>=1<uz;;;7>52z?246<2;27:<=477:p55d=838p1<>>:438946221=0q~??9;296~;68:0>=63>038;3>{tnh0;6?u2f4866>;a;32<7p}ie;296~;a032<70h;:408yv`d2909w0h<:4089c0=0>1vk750;0x9c3==:16j?477:pba<72;q6j>4:1:?e3?>03tymn7>52z?e1?3634l?6594}r314?6=:r7:=o4:1:?255<??2wx=??50;0x947e2<801<?8:958yv75;3:1>v3>128;3>;68o0>86s|10d94?4|58;<68<4=036>=1<uz;947>52z?25d<??27:=;4:2:p572=838p1<?;:958946a2<80q~?=2;296~;69;03;63>10866>{t9;<1<7<t=036>04<58;36594}r32g?6=:r7:=:4:3:?24`<??2wx=<j50;0x94772<801<?>:958yv76m3:1>v3>11865>;68o03;6s|13594?4|58;>68?4=03:>=1<uz;997>52z?252<2927:=;477:p567=838p1<<k:438944e21=0q~?<0;296~;6:m0>>63>2b8;3>{t9:81<7<t=00`>07<588n6594}r31b?6=:r7:>o4:1:?26d<??2wx=9k50;0x942f21=01<:6:438yv73l3:1>v3>4`866>;6<103;6s|15a94?4|58>j68=4=06a>=1<uz;>57>52z?216<2927:9?477:p50g=838p1<;=:438943321=0q~?:b;297~;6=;0>>63>548;3>;6=80>=6s|14:94?4|58?868=4=073>=1<uz;>o7>52z?215<2:27:9;477:p501=838p1<;<:408943621=0q~?;0;296~;6;?0>>63>358;3>{t9:i1<7<t=01;>=1<589<68?4}r30f?6=:r7:?;4:1:?270<??2wx=>j50;0x94522<801<=6:958yv74m3:1>v3>34867>;6;h03;6s|12d94?4|589?68?4=014>=1<uz;?97>52z?207<??27:894:1:p510=838p1<:=:428942421=0q~?m2;296~;60h03;63>98864>{t9h31<7<t=0:e>07<582n6594}r3ag?6=:r7:;i4:3:?23c<??2wx=o?50;0x94>>21=01<7n:428yv7f=3:1?v3>848;3>;6000>?63>8`865>{t9kn1<7<t=05g>04<582;6594}r3a<?6=:r7:;:4:3:?230<??2wx=o:50;0x94?621=01<7k:428yv7e;3:1>v3>8g8;3>;61j0><6s|1`d94?4|58=o6594=0;4>06<uz;i97>52z?2=`<??27:5k4:0:p5dc=838p1<98:95894?12<:0q~?m0;296~;60:03;63>99864>{t9>81<7ot=0;6>07<582o68?4=055>04<582?68?4=0;0>07<58=h6594=056>04<582>68?4=05e>07<582n68?4}r3bg?6=:r7:59477:?2=4<2:2wx=ll50;0x94?421=01<7>:418yv7f:3:1>v3>868;3>;6000>>6s|1`694?5|582?6594=0::>02<582j68<4}r3aa?6=:r7:4<477:?23a<292wx=:?50;;x94142<801<67:43894>e2<;01<7=:438941e21=01<6<:40894>72<801<9i:40894>b2<80q~?m9;296~;6??03;63>76866>{t9k=1<7<t=057>=1<58=<68:4}r3be?6=:r7:5?477:?2=4<2<2wx=l650;0x94>c21=01<6i:408yv7f>3:1>v3>8c8;3>;60o0>86s|1`194?4|58236594=0::>07<uz;<<7>58z?23=<2927:4n4:2:?23d<??27:4:4:2:?2=1<2927:;84:1:?2<5<2927:484:2:p5g0=838p1<9<:95894102<?0q~?nd;296~;61<03;63>90865>{t9h=1<7<t=0:`>=1<582m68=4}r3b5?6=:r7:4?477:?2<6<2;2wx=ol50;0x941b21=01<9k:468yv71n3:1:v3>788;3>;60k0>?63>8e867>;6?=0>=63>80865>;61l0><6s|1cc94?4|58=36594=054>07<uz?1<7=t^49>50<2827>6l<4}r35>5<4sW;=70?n:428940=i;1v<l50;1xZ4d<5:819=521c8b6>{t;:0;6>uQ329>7g<28278?7o=;|qbe?6=;rTjm63nb;73?8gf2h80q~ol:180[gd34ko68>4=`a9e7=z{00;6>uQ9:?b>=1<500j>6s|b;297~Xe34i14:52b;c1?xuc2908wSj4=d8;3>;c2h80q~h50;1xZc=:9903;63i:`08yv7b>3:1?vP>e79>5`1=0>16=h85a39~w4c?2908wS?j8:?2a<<??27:i54n2:p5`g=839pR<kn;<3ff?>034;nm7o=;|q2af<72:qU=hm4=0gg>=1<58oh6l<4}r3fa?6=;rT:ih521dd9<2=:9lo1m?5rs0d3>5<4sW;m<63>f08;3>;6n90j>6s|1g094?5|V8l970?i3;:4?87a:3k97p}>f683>6}Y9o=01<h7:95894`02h80qp`=cc82>7}Ojo1e=ih50:m6fe=938pDoh4n0fe>4=zf;io6<4={I`e?k7cn380qc<le;396~Nen2d:hk4<;|l1gc<72<qCnk5a1ed90>{i:m:1<7;tHcd8j4ba2<1vb?j>:181Mda3g;oj784}o0g6?6=:rBij6`>dg84?xh5l:0;6?uGbg9m5a`=02we>i:50;0xLg`<f8nm645rn3f6>5<5sAhm7c?kf;c8yk4c>3:1>vFmf:l2`c<e3td9h:4?:3yKfc=i9ml1o6sa2e:94?4|@kl0b<ji:e9~j7b>2909wEli;o3gb?c<ug8om7>52zJab>h6lo0m7p`=dc83>7}Ojo1e=ih5119~j7bd2909wEli;o3gb?763td9hi4>:3yKfc=i9ml1=?5+1e;953`<ug8oi7?52zJab>h6lo0:?6sa2ed95?4|@kl0b<ji:068yk4b83;1>vFmf:l2`c<6=2we>h?50;3xLg`<f8nm6<84nc795>{i:l81<7?tHcd8j4ba28=0bo;51:m6`5=83;pDoh4n0fe>4><fk?1=6sa2d694?7|@kl0b<ji:0;8jg3=82we>h;51;3xLg`<f8nm6<o4}o0f2?7=9rBij6`>dg82f>{i:l=1=7?tHcd8j4ba28i0qc<j8;395~Nen2d:hk4>d:m6`?=93;pDoh4n0fe>4c<ug8nm7?51zJab>h6lo0:j6sa2d`95?7|@kl0b<ji:328yk4bk3;1=vFmf:l2`c<592.:h44>6g9~j7cc290:wEli;o3gb?453gh>6<5rn3gf>5<6sAhm7c?kf;00?kd2291vb?ki:182Mda3g;oj7<;;|l1b5<628qCnk5a1ed960=#9m31=85rn3d2>4<6sAhm7c?kf;05?!7c13;j7p`=f383>4}Ojo1e=ih5269~j7`4280:wEli;o3gb?4?3-;o57==;|l1b1<728qCnk5a1ed96<=zf;l>6<4>{I`e?k7cn38j7)?k9;1a?xh5n?0;6<uGbg9m5a`=:k1vb?h8:082Mda3g;oj7<l;%3g=?7f3td9j54>:0yKfc=i9ml1>i5+1e;977=zf;l26=4>{I`e?k7cn38n7p`=f`82>4}Ojo1e=ih52g9'5a?=;k1vb?hm:182Mda3g;oj7=?;|l1bf<628qCnk5a1ed974=#9m31?o5rn3dg>5<6sAhm7c?kf;11?xh5nl0:6<uGbg9m5a`=;:1/=i751`9~j7`a290:wEli;o3gb?533td8<=4?:0yKfc=i9ml1?85rn222>4<6sAhm7c?kf;15?!7c13997p`<0383>4}Ojo1e=ih5369~j664280:wEli;o3gb?5?3-;o57?n;|l041<628qCnk5a1ed97<=#9m31??5rn226>5<6sAhm7c?kf;1b?xh48?0:6<uGbg9m5a`=;k1/=i753c9~j660290:wEli;o3gb?5d3td8<54?:0yKfc=i9ml1?i5rn22:>4<6sAhm7c?kf;1f?!7c13;j7p`<0`82>4}Ojo1e=ih53g9'5a?=;;1vb>>m:182Mda3g;oj7:?;|l04f<628qCnk5a1ed904=#9m31?o5rn22g>5<6sAhm7c?kf;61?xh48l0;6<uGbg9m5a`=<:1vb>>i:182Mda3g;oj7:;;|l055<628qCnk5a1ed900=#9m31=85rn232>5<6sAhm7c?kf;65?xh49;0:6<uGbg9m5a`=<>1/=i751`9~j674280:wEli;o3gb?2?3-;o57==;|l051<628qCnk5a1ed90<=#9m31??5rn236>4<6sAhm7c?kf;6b?!7c13997p`<1783>4}Ojo1e=ih54c9~j670290:wEli;o3gb?2d3td8=54?:0yKfc=i9ml18i5rn23:>4<6sAhm7c?kf;6f?!7c139i7p`<1`83>4}Ojo1e=ih54g9~j67e290:wEli;o3gb?373td8=n4?:0yKfc=i9ml19<5rn23g>4<6sAhm7c?kf;71?!7c139i7p`<1d83>4}Ojo1e=ih5529~j67a290:wEli;o3gb?333td8>=4>:0yKfc=i9ml1985+1e;95d=zf:8:6<4>{I`e?k7cn3?=7)?k9;11?xh4:;0;6<uGbg9m5a`==>1vb><<:082Mda3g;oj7;7;%3g=?7f3td8>94>:0yKfc=i9ml1945+1e;977=zf:8>6=4>{I`e?k7cn3?j7p`<2782>4}Ojo1e=ih55c9'5a?=;k1vb><8:182Mda3g;oj7;l;|l06=<728qCnk5a1ed91a=zf:826<4>{I`e?k7cn3?n7)?k9;3b?xh4:h0;6<uGbg9m5a`==o1vb><m:082Mda3g;oj78?;%3g=?553td8>n4>:0yKfc=i9ml1:<5+1e;97g=zf:8o6=4>{I`e?k7cn3<97p`<2d82>4}Ojo1e=ih5629'5a?=9=<0qc==f;395~Nen2d:hk494:m766=93;pDoh4n0fe>33<,8n26<ki;|l074<728qCnk5a1ed923=zf:996=4>{I`e?k7cn3<<7p`<3282>4}Ojo1e=ih5699'5a?=9ln0qc=<4;295~Nen2d:hk499:m763=83;pDoh4n0fe>3g<ug98:7>51zJab>h6lo0=n6sa32595?7|@kl0b<ji:7a8 4b>28l87p`<3982>4}Ojo1e=ih56e9'5a?=9o;0qc=<9;395~Nen2d:hk49e:&2`<<6m01vb>=n:082Mda3g;oj78i;%3g=?7a02we?>l51;3xLg`<f8nm6:>4$0f:>4c03td8?n4>:0yKfc=i9ml1;<5+1e;95`d<ug98h7?51zJab>h6lo0<>6*>d882g6=zf:9n6=4>{I`e?k7cn3=87p`<3g83>4}Ojo1e=ih5759~j627290:wEli;o3gb?123td88<4?:0yKfc=i9ml1;;5rn261>5<6sAhm7c?kf;54?xh4<:0:6<uGbg9m5a`=?11/=i751b08yk53<3:1=vFmf:l2`c<012we?9;50;3xLg`<f8nm6:o4}o172?6=9rBij6`>dg84f>{i;==1<7?tHcd8j4ba2>i0qc=;8;295~Nen2d:hk48d:m71?=83;pDoh4n0fe>2c<ug9?m7?51zJab>h6lo0<j6*>d882g4=zf:>i6=4>{I`e?k7cn32;7p`<4b83>4}Ojo1e=ih5809~j62c290:wEli;o3gb?>53td88h4?:0yKfc=i9ml14>5rn26e>5<6sAhm7c?kf;:7?xh4=90:6<uGbg9m5a`=0<1vb>;>:182Mda3g;oj769;|l017<728qCnk5Fa3824<zR=k1=v8<:|l2`c<??2we?8=50;3xLg`<Ah81=v?5}[6b>4}1;3we=ih5899~j633290:wEli;Hc1>4}62tP?m7?t628~j4ba2130qc=:5;295~Nen2Cj>7?t1;Y0d<6s?91qc?kf;:b?xh4=?0;6<uGbg9Je7<6s80vV9o51z40>xh6lo03n6sa34594?7|@kl0El<51z39y_2f28q=?7sa1ed9<f=zf:?36=4>{I`e?Lg528q96pT;a;3x26<zf8nm65j4nc795>{i;<31<7?tHcd8Md4=9r81qW:n:0y57?{i9ml14h5ab482?xh4=h0;6<uGbg9Je7<6s;0vV9o51z40>xh6lo03j6`m5;38yk52j3:1=vFmf:Kb6?7|:3wQ8l4>{719yk7cn33;7cl::19~j63d290:wEli;Hc1>4}52tP?m7?t628~j4ba20;0qc=:d;295~Nen2Cj>7?t2;Y0d<6s?91qc?kf;;1?xh4=l0;6<uGbg9Je7<6s;0vV9o51z40>xh6lo02?6sa34d94?7|@kl0El<51z09y_2f28q=?7sa1ed9=1=zf:<;6=4>{I`e?Lg528q96pT;a;3x26<zf8nm64;4}o155?6=9rBij6Gn2;3x6?{]<h0:w;=5}o3gb??13td8:?4?:0yKfc=Ni;0:w?4rZ5c95~042td:hk467:m735=83;pDoh4I`095~4=uS>j6<u93;m5a`=111vq{Z;6;295?7=:0qX?<4>f581>4548;3<6>?<509'g4<f<2.j?7:4H5a8L26<@=n0n54?:00976<51rB?;6*ma;68j1?=;81en=4=b:l2`c<73`?1<75f1783>>o6j3:17d=<:188mdg=831bmn4?::m:>5<<gk0;66ak:188kc<722e:i;4?::m2a=<722e:il4?::m2af<722e:ih4?::m2b5<722e:j?4?::m2b2<722h::o4>:683>5}#jj0=:6*;8;:`?!d428<j7E::;o3gb?7<a<;1<75f5383>>o2;3:17d;;:188m03=831b9;4?::m:a?6=3th:::4>:683>5}#jj0=:6*;8;:a?!d428<=7E::;o3gb?4<a<;1<75f5383>>o2;3:17d;;:188m03=831b9;4?::m:a?6=3th::>4>:683>5}#jj0=:6*;8;:b?!d428<97E::;o3gb?5<a<;1<75f5383>>o2;3:17d;;:188m03=831b9;4?::m:a?6=3th:9k4>:683>5}#jj0=:6*;8;:g?!d428?n7E::;o3gb?2<a<;1<75f5383>>o2;3:17d;;:188m03=831b9;4?::m:a?6=3th:4l4>:283>5}#jj0=:6*;8;;6?!d428<n7E::;o3gb?3<a<;1<75f5383>>i>m3:17pl>8882>3<729q/nn496:&7<??43-h86<8j;I66?k7cn3<0e8?50;9j17<722c>?7>5;h77>5<<a<?1<75`9d83>>{e91?1=7=50;2x ge=>?1/85465:&a7?71m2B?96`>dg84?l362900e8<50;9l=`<722wi=4?51;794?6|,ki1:;5+498:4>"e;3;=i6F;5:l2`c<?3`?:6=44i4094?=n=:0;66g:4;29?j?b2900qo?7f;391?6=8r.io789;%6;>=c<,k91=;k4H578j4ba201b9<4?::k66?6=3`?86=44i4694?=h1l0;66sm16f95?3=83:p(om5679'0=<>92.i?7?9e:J71>h6lo0j7d;>:188m04=831b9>4?::k60?6=3f3n6=44}c343?7==3:1<v*mc;45?!2?21l0(o=517g8L13<f8nm6o5f5083>>o2:3:17d;<:188m02=831d5h4?::a5=5=93?1<7>t$ca923=#<102>6*m3;35a>N3=2d:hk4l;h72>5<<a<81<75f5283>>o2<3:17b7j:188yg7?<3;1?7>50z&ag?013->364:4$c1953c<@=?0b<ji:e9j14<722c>>7>5;n;f>5<<uk;>6<4=:183!dd2<=0(o=58:&6a?3?3A>>7c?kf;g8m06=831d4:4?::a5d<62;0;6=u+bb863>"e;320(8k5599K00=i9ml1j6g:0;29?j>02900qo==:081>5<7s-hh6894$c19<>"2m3?37E::;o3gb?773`?;6=44o9594?=zj:h1=7<50;2x ge==>1/n>47;%7f>0><@=?0b<ji:038m06=831d4:4?::aeg<62;0;6=u+bb863>"e;320(8k5599K00=i9ml1=?5f5183>>i??3:17plnd;396?6=8r.io7;8;%`0>==#=l0>46F;5:l2`c<6;2c><7>5;n:4>5<<ukk1=7<50;2x ge=1?1/n>47;%7f><c<@=?0b<ji:068m06=831d4:4?::ag?7=:3:1<v*mc;;5?!d4211/9h46e:J71>h6lo0:96g:0;29?j>02900qok51;094?6|,ki15;5+b28;?!3b20o0D9;4n0fe>40<a<:1<75`8683>>{e990:6?4?:1y'ff<>>2.i?764$4g9=`=O<<1e=ih5169j15<722e3;7>5;|`2a2<62;0;6=u+bb8:2>"e;320(8k59d9K00=i9ml1=55f5183>>i??3:17pl>e882>7<729q/nn466:&a7?><,<o15h5G449m5a`=901b9=4?::m;3?6=3th:io4>:383>5}#jj02:6*m3;:8 0c=1l1C885a1ed95d=n=90;66a77;29?xd6mm0:6?4?:1y'ff<>>2.i?764$4g9=`=O<<1e=ih51c9j15<722e3;7>5;|`2ac<62;0;6=u+bb8:2>"e;320(8k59d9K00=i9ml1=n5f5183>>i??3:17pl>f082>7<729q/nn466:&a7?><,<o15h5G449m5a`=9m1b9=4?::m;3?6=3th:j>4>:383>5}#jj02:6*m3;:8 0c=1l1C885a1ed95`=n=90;66a77;29?xd6n10:6?4?:1y'ff<>>2.i?764$4g9=`=O<<1e=ih51g9j15<722e3;7>5;|`6>4<6290;w)ll:4d8 0c==11/:>4>c:J71>h6lo09<6am2;29?xd6>3;1=7>50z&ag?3a3-?n6864$71952=O<<1e=ih5209lf7<722wi=o4>:083>5}#jj0>j6*:e;7;?!042?1C885a1ed967=hj;0;66sm3282>4<729q/nn4:f:&6a?3?3-<86>64H578j4ba2;90co<50;9~fdg=93;1<7>t$ca91c=#=l0>46*93;`:?M223g;oj7<;;n`1>5<<ukkh6<4>:183!dd2<l0(8k5599'26<e>2B?96`>dg811>ie:3:17pl6:082>5<7s-hh6464$4g9=`=#>:0<n6F;5:l2`c<5>2ci=7>5;|`a>4<6290;w)ll:8:8 0c=1l1/:>48a:J71>h6lo09;6gm1;29?xdc280:6=4?{%``><><,<o15h5+628b5>N3=2d:hk4=8:ka5?6=3thm6<4>:183!dd2020(8k59d9'26<f82B?96`>dg81=>oe93:17pl>e782>4<729q/nn468:&6a??b3-<86o94H578j4ba2;k0eo?50;9~f4c?280:6=4?{%``><><,<o15h5+628a<>N3=2d:hk4=b:ka5?6=3th:il4>:083>5}#jj0246*:e;;f?!042kn0D9;4n0fe>7e<ak;1<75rb0g`>4<6290;w)ll:8:8 0c=1l1/:>4me:J71>h6lo09h6gm1;29?xd6ml0:6<4?:1y'ff<>02.>i77j;%40>3?<@=?0b<ji:3g8mg7=831vn<h?:082>5<7s-hh6464$4g9=`=#>:0<56F;5:l2`c<5n2ci=7>5;|`2b7<6280;6=u+bb8:<>"2m33n7)8<:728L13<f8nm6>>4ic394?=zj8l<6<4>:183!dd2020(8k59d9'26<102B?96`>dg805>oe93:17pl<0;394?6=8rB:h55+bb81b>Nej2B3=6`>dg806>{ei10:6=4?:1yK5ag<,ki1m:5Gbc9K<4=i9ml1?>5rs``94?3|58<i68?4=044>07<58<868?4=07e>07<5hh14:5rs`f94?3|58<i68<4=044>04<58<868<4=07e>04<5hn14:5rs2`94?3|58<i68=4=044>00<58<868;4=07e>02<5:h14:5rs0c94?3|58<i68:4=044>02<58<868=4=07e>00<58k14:5rs2094?3|58<i68;4=044>05<58<868:4=07e>03<5:814:5rs0794?3|58<i6884=044>03<58<86884=07e>05<58?14:5rs0a0>5<>s4;=n77j;<3;=?3234;397;>;<3:5?3434;3j7;;;<34`?3434;<;7;<;<3;7?3634;387;>;|q2g7<721q6=;959d9>5=?==:16=4?5509>5=`==816=:j5559>521==;16=5=5539>5=2==;1v<m>:18;871;33n70?79;77?87?=3?970?61;71?87?n3?970?8d;72?870?3?:70?73;70?xu6>o0;6:u214d9=`=:90;1995219d916=:9>n19?52165911=:919199521g:915=z{8k>6=4<{<3;e?3634;357;>;<3;1??b3ty:m94?:2y>5=g==;16=575539>5=2=1l1v<ki:18187?i33n70?jf;73?xu6mm0;6?u219;9=`=:9ln19=5rs0d0>5<5s4;2=77j;<3e7?373ty:j<4?:3y>5=`=1l16=k?5519~w4c>2909w0?8d;;f?87b13?;7p}>e683>7}:9>=15h521d5915=z{8oi6=4={<3;7??b34;nn7;?;|q6>5<4s4;>68>4=48a6>X23ty::7>53z?2e?3734;=6o<4^048yv7e2908w0==:42894d=j;1U=o5rs2194?5|5:h19=52328a6>X4;2wxml4?:2y>eg<2827jm7l=;_cb?xufk3:1?v3nd;73?8gd2k80Rlm4}rc94?7|5h0><6s|9;297~;f21=0144m1:\:?xud290:w0m5519~wg<72:q6o768;<`9f4=Yj2wxi7>51z?f>06<uzn1<7=t=d8;3>;c2k;0Ri5rs0294?7|58:19=5rsg83>6}:9903;63i:c38Zc=z{8o=6=4<{<3f3?>034;n:7l>;_3f2>{t9l21<7=t=0g:>=1<58o36o?4^0g;?xu6mh0;6>u21d`9<2=:9lk1n<5Q1dc8yv7bk3:1?v3>ee8;3>;6mj0i=6P>eb9~w4cb2908w0?jf;:4?87bm3h:7S?je:p5c6=839p1<h>:95894`72k;0R<h?;|q2b7<72:q6=k=5869>5c4=j81U=k<4}r3e3?6=;r7:j5477:?2b2<e92T:j:5r}o6ab?6=9rd:hk4?;|l7g5<728qe=ih51:m0f7=83;pb<ji:39~j1e5290:wc?kf;18yk2d;3:1=v`>dg87?xh3k=0;6<ua1ed91>{i<j?1<7?tn0fe>3=zf=i=6=4>{o3gb?1<ug>h;7>51zl2`c<?3td?o54?:0ym5a`=12we8n750;3xj4ba2h1vb9mn:182k7cn3h0qc:lb;295~h6lo0h7p`;cb83>4}i9ml1h6sa4bf94?7|f8nm6h5rn5af>5<6sg;oj7h4}o6`b?6=9rd:hk4>0:m0a6=83;pb<ji:038yk2c93:1=v`>dg826>{i<m81<7?tn0fe>45<ug>o?7>51zl2`c<6<2we8i:50;3xj4ba28?0qc:k5;295~h6lo0::6sa4e494?7|f8nm6<94}o6g3?6=9rd:hk4>8:m0a>=83;pbl;51:l2`c<612we8i750;3xj4ba28k0qc:ka;295~hf=3;0b<ji:0`8yk2cj3:1=v`>dg82g>{i<mi1<7?tn`795>h6lo0:h6sa4ef94?7|f8nm6<k4}o6ga?6=9rdj97>4n0fe>4`<ug>oj7>51zl2`c<582we8h>50;3xj4ba2;;0qc:j1;295~h6lo09>6sa4d094?7|f8nm6?=4}o6f7?6=9rd:hk4=4:m0`2=83;pb<ji:378yk2b=3:1=v`>dg812>{i<l<1<7?tn0fe>71<ug>n;7>51zl2`c<502wvqpNOCz725?0a:::=8lsO@Cy77~7c?3:1<7=537697=?=;h30qv?k7;294?g=;??1?5o538297<1=;0i1?l<53``97g?=;ki1?n<4}z3g3?6=83i1?;8539a97<7=;0k1?4j53`197de=;hn1?lk53cc97gb=;j>0qv?k7;294?g=;?=1?5k538197<3=;0l1?l;53c097g0=;kl1?n;4}z3g3?6=8381?n653b58y~7c?3:1<7<539097f?<ur;o;7>50;097=7=;ji0qv?k7;294?4=;1>1?i>4}z3g3?6=8381?5=53e38y~7c?3:1<7<536d97a4<ur;o;7>50;697=3=;m91?h753648y~7c?3:1<7<536g97a2<ur;o;7>50;097=6=;m?0qv?k7;294?4=;>31?i84}z3g3?6=8381?:653ea8y~7c?3:1<7<536597`5<ur;o:7>50;g9044=9?:1:>487;4a>25=9::1=>:5154956d=n;0mm7h9:021?x}6l?0;6=4>3;62e?7003826>=52580e?2628;>6<<::00`>47f2k21o=4k9;a;>`b=jj0oi7k;;|y2`3<7290j69<=:0;3>11==;0:=<4>0784a?>b21915;5r{0f5>5<72k0?>l4>a986e?3b28>n6<:m:071><d=ik0j<7l<;|y2`3<7290969==:0fa?x}6l?0;6=4;:515>4c02;8>6?:l;|y2`3<7290969=k:0gg?x}6l?0;6=49:562>4`>2;9n6?<>:33g>73>3tq:h;4?:185>12028lm6?:8:37g>72528nm7pu>d783>5<12=>o6?>::345>4c428l:6?<k;|y2`3<7290<69;<:32f>7332;926<h::316>70c3tq:h;4?:180>13>2;;>6?8=;|y2`3<7290969;i:30:?x}6l?0;6=4=:540>7163tq:h;4?:181>1012;=?7pu>d783>5<52=<26?98;|y2`3<72909698l:35b?x}6l?0;6=4=:54e>71c3tq:h;4?:181>1152;2;7pu>d783>5<52==>6?6<;|y2`3<729096997:3:5?x}6l?0;6=4=:55a>7>>3tq:h;4?:181>11b2;2h7pu>d783>5<52=2:6?6i;|y2`3<72909696;:3;1?x}6l?0;6=4=:5:4>7?23tq:h;4?:181>1>f2;337pu>d783>5<52=2o6?7m;|y2`3<72909697?:3;f?x}6l?0;6=4=:5;0>7g63tq:h;4?:181>1?12;k?7pu>d783>5<52=326?o8;|y2`3<72909697m:3c:?x}6l?0;6=4=:5;g>7ge3tq:h;4?:181>1?a2;ko7pu>d783>5<52=k:6?oi;|y2`3<7290969o<:3`2?x}6l?0;6=4=:5c6>7d43tq:h;4?:181>1g02;h>7pu>d783>5<52=k26?l8;|y2`3<7290969om:3`:?x}6l?0;6=4=:5cg>7de3tq:h;4?:181>1ga2;ho7pu>d783>5<52=h:6?li;|y2`3<7290969l<:3a2?x}6l?0;6=4=:5`6>7e43tq:h;4?:181>1d02;i>7pu>d783>5<52=h26?m8;|y2`3<7290969lm:3a:?x}6l?0;6=48:5`g>74=9j:1=oj51cc95g1=:91vw<j9:183>7b=<ko1>i4l5;13>1e=?90347o::bf955d=98l1=>653687b?1e21h1m54k0;f0>a0=99o1=?<515297`<2?3<364=5b18fe?`a28826<:<:0a0>4e128i26<ml:0ae>4b228n36?>m:0f1>70f2;;j6?==:372?x{GHYqvLM \ No newline at end of file
+$32b5=6>2.Yi{g|inl9$4(5=?$?"<.+109'V`pn{`ee6-?!54"'5>4b3?ygt<8lsf110(563H90MI\<;@NV7>GI811JB=Q@BTD0?DH602KE=RAMUG18EK4?3HD9SBLZF29BJ6><IG9TCO[I3:CM@1=FFM8?7L@K359BJA213HUBNXH>;C08F=5<J\L:<6LZIMF\PDT@M]KYOE84B^KAQC7<K;1H8?5L959@HN613JF@=5>9;BNH62623JF@>U64CMI1\4>7=2IGG4>:;BNHE41<KEAJ=I<8;BNHE4B3?2IGGL?K849@HND6=2IGGN?:;BNH@43<KEAOZn5LLJFU[AOQAMO>7NBDFY:8GIMAP82;56M@MLKWP@B?3JEE_YOAT038GJHT\HD_SY\NSIABJ2=DZLK_II84C^KAQC7<L;1O<?5K139G67=C;;1O5<>4DGF6@DB70O2>4L6?e:FQGZURKJOX_K[C6:F\MGSA9;1Oe{gke^2\IPUBZVKGY<<4Dhtj``Y6WD_XI_QNLT31?AoqamoT>RCZSDP\EIS6:2Nbzdjj_2]NQVCUWHF^==5KiwkgaZKR[LXTMA[>;D32?@^WIGNNHRLLD^DJH@733LR[MCJJD^ALJVRUI@D__<?4EYRBJACCW@YNSKGCE59EVDAe3OXJKNAYESGGe>@UINUIM^KKb:DQEBYDGGLBKl5IR@E\GJSI\11M^BCPUBD2?B733NOEI_O[EE"SKVR*NECEX<<4GDLFVDRBL)ZD_Y#H3118CKB13A_T_HZ>;H08M54<A880E?<4I208M14<A<>0EO[I2:KM<>OINLXYII74IOKWWQGSM:1BB[k4IO]MCAYFFMUIOI84INALIU0<AF^R\H:4IQCG7>J6<>1GEO\NRX48HJGCMM90@BM9;MM@O@B03EELENOC3:NVP1=K]]<87@?<3:O2047<E=U:IRFWIMKM\ZGK]k1FM]KWP@LGAA0<EHZ[IIo4M@R\IJBRDLn0AL^PTHOFWQGJXk1FM]QYESQJKK7>3DFTKH@JR@VF@ZVH[]'L^L@FTDa8Idlhz_oydaac:OjjjtQm{ybcc64Mlw{[J769;1Fci{co^2\IPUBZVKGY<<4MnfvhjY6WD_XI_QNLT31?Hic}eeT?RCZSDP\EIS682Gdhxb`_LWPAWYFD\80B==4N060?K72;2D:::5AEUULVN><FF^T\EW\139MabgsmV:TAX]JR^COQ44<FlmjxhQ>_LWPAWYFD\;97Ckhaug\6ZKR[LXTMA[>2:LfcdrbW:UFY^K]_@NV55=ImnkiRCZSDP\EIS63F<0C#99xe:8K+11pmUG:i5@=)*}M5,/ @;!$D=%(H6)M0/.!"tB>$'$(H2),-xN9#"B>&&qI2(+zL2-v@?"%$'$(H3),{O5#!"uE>$'I5(}M0/.#!C8&%pF4+K6-,/.! #":o5@=)*}M5,/ wC:&D<&+)K2.-.N:#C?%MG<)(+*/-O7"!"uE<$'I3)+zL5- @>!rD;&)(+(,L7- !tB>'&qI2(J1,/, @8!$D=O~H7*-,/.! 3o7B3'(K3.-.yA8 B>$%'I0(+,{O5"@9"'%G=*)*}M6,/A= B9$'$(H1),{O3#wC>%$'&)(+*/-O7"!"uE<$'(K1.-.yA: B8$%F5(+(,L4-v@?"%$%'I0(+,-xN:#"B?'G:)()+M7,/v@9!rD;&)(BJ0,/.! 2o7B3'(K3.-.yA8 B>$%'I0(+,{O5#!C9&%pF4*|J1,/."@9"%$'$(H2),-xN9#"#rD<%(H1(,L2,A<#"%&&F2+*}M1,yA<#"%$%'I0(+,-xN:#"uE9%F5(+(,L4- @> rD;&)((J7,/.! 9i7B3'(K3.-.yA8!#E<$qI3+*.L5.!""B<'&'~H3)M7/, @;!$sG=+H1*-,/.;?1D1%&qI1(+zL7- @8!E>'&)**J4/./v@;!rD<&+)K2.-O5"wC8%$'&)368K8./v@:!$sG>*)K1/L5.! !#E=$'I0(J6,/.:=1D1%&qI1(+zL7-A:#"'%G?*)K2.-O5H@9"%$'>4:M>,{O7"!C:&%G=@H1*-,403F7#rD>%(K2.-.yA; #rD=$~H6*-..N:#C8%$'&a:M>,{O7"@;"n6A2(K3.{O6!=1DNXH<;NLF0>IWIM80C_=4OS00?JT4;2EY8>5@R418KPR63Y90\<9<;Q3;7>VFL=1[M_Z7;QJZWLEFD<1[CZK]6:RP@JHB?2XNABXJD028V@KH^LNTX_O\HBCM7>THE;1X<:5\0^KAQC4<[8=0_<QFBTD25>UOZLMTIUZ]ABV\JBEb3ZBYIJQBIO]PM_C>3ZCLSNAZNU18WKM43ZXHh6]]C^JJAWGUKAS=7^ZNTTQ;?VRRKBUJX>5[1118P4143];3>6Z6f:VBVBCSWYKYXYW_E99WAWKNFHF?7YW_E29V525<]82h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENAo1S@UGP1.ZO\LY68&;>7UBWI^3,\I^NW8:$TAVF_336?]J_AV;$TAVF_02,\I^NW=;>7UBWI^3,\I^NW8:$TAVF_736?]J_AV;$TAVF_02,\I^NW>;>7UBWI^3,\I^NW8:$TAVF_935?]J_AV;$TAVF_02,\I^IW89::6VCXH]2+]J_AV;;#UBWN^3753=_DQCT="VCXH]24*^KPGU:9<;4XMZJ[4)_DQCT==!WLYL\6c=_DQCT="VCXH]26*723QFSER? XMZJ[44(PERBS<?:;YN[MZ7(PERBS<< XMZJ[7723QFSER? XMZJ[44(PERBS>?:;YN[MZ7(PERBS<< XMZJ[0723QFSER? XMZJ[44(PERBS;?:;YN[MZ7(PERBS<< XMZJ[2723QFSER? XMZJ[44(PERBS4?9;YN[MZ7(PERBS<< XMZM[446=2RGTDQ>/YN[MZ75'QFSBR<>5:ZO\LY6'QFSER?=/YN[JZ56=2RGTDQ>/YN[MZ75'QFSBR:>5:ZO\LY6'QFSER?=/YN[JZ36=2RGTDQ>/YN[MZ75'QFSBR8j;YN[MZ7(PERBS5!>4:ZO\LY6'QFSER6 XMZJ[4733QFSER? XMZJ[=)_DQCT><:4XMZJ[4)_DQCT4"VCXH]051=_DQCT="VCXH];+]J_AV>:86VCXH]2+]J_AV2$TAVF_437?]J_AV;$TAVF_9-[H]OX>8>0TAVF_0-[H]OX0&RGTDQ8159[H]OX9&RGTDQ7/YN[MZ>6<2RGTDQ>/YN[MZ>(PERBS4?;;YN[MZ7(PERBS5!WLYL\543<PERBS<!WLYK\<*^KPGU:?<;4XMZJ[4)_DQCT4"VCXO]2243<PERBS<!WLYK\<*^KPGU:;<:4XMZJ[4)_DQCT4"VCXO]151=_DQCT="VCXH];+]J_FV>:86VCXH]2+]J_AV2$TAVA_437?]J_AV;$TAVF_9-[H]HX0l1S@UGP1.ZO\LY>'8?0TAVF_0-[H]OX1&RGTDQ>0078\I^NW8%S@UGP9.ZO\LY6:8?0TAVF_0-[H]OX1&RGTDQ>3078\I^NW8%S@UGP9.ZO\LY6=8?0TAVF_0-[H]OX1&RGTDQ>6078\I^NW8%S@UGP9.ZO\KY6;8?0TAVF_0-[H]OX1&RGTCQ>4078\I^NW8%S@UGP9.ZO\KY6=8>0TAVF_0-[H]OX1&RGTCQ6e:ZO\LY5'QFSER? 159[H]OX:&RGTDQ>/YN[MZ76<2RGTDQ=/YN[MZ7(PERBS??;;YN[MZ4(PERBS<!WLYK\742<PERBS?!WLYK\5*^KP@U?=95WLYK\6*^KP@U:#UBWI^720>^KP@U9#UBWI^3,\I^NW?;?7UBWI^0,\I^NW8%S@UGP7068\I^NW;%S@UGP1.ZO\KY69=1S@UGP2.ZO\LY6'QFSBR<>4:ZO\LY5'QFSER? XMZM[6733QFSER< XMZJ[4)_DQDT9<:4XMZJ[7)_DQCT="VCXO]551=_DQCT>"VCXH]2+]J_FV=n7UBWI^0,\I^NW;%:86VCXH]1+]J_AV8$TAVF_037?]J_AV8$TAVF_3-[H]OX:8>0TAVF_3-[H]OX:&RGTDQ<159[H]OX:&RGTDQ=/YN[MZ26<2RGTDQ=/YN[MZ4(PERBS8?;;YN[MZ4(PERBS?!WLYK\242<PERBS?!WLYK\6*^KP@U<=95WLYK\6*^KP@U9#UBWN^320>^KP@U9#UBWI^0,\I^IW;;?7UBWI^0,\I^NW;%S@U@P3068\I^NW;%S@UGP2.ZO\KY29=1S@UGP2.ZO\LY5'QFSBR8>4:ZO\LY5'QFSER< XMZM[2c<PERBS?!WLYK\7*733QFSER< XMZJ[6)_DQCT=<;4XMZJ[7)_DQCT?"VCXH]2543<PERBS?!WLYK\7*^KP@U:9<;4XMZJ[7)_DQCT?"VCXH]2243<PERBS?!WLYK\7*^KP@U:4<;4XMZJ[7)_DQCT?"VCXH]2=42<PERBS?!WLYK\7*^KP@U9=85WLYK\6*^KP@U8#UBWI^0350=_DQCT>"VCXH]0+]J_AV8:=85WLYK\6*^KP@U8#UBWI^0151=_DQCT>"VCXH]0+]J_AV9:86VCXH]1+]J_AV9$TAVF_437?]J_AV8$TAVF_2-[H]OX>8>0TAVF_3-[H]OX;&RGTDQ8159[H]OX:&RGTDQ</YN[JZ46=2RGTDQ=/YN[MZ5(PERES?=>5:ZO\LY5'QFSER= XMZM[726<2RGTDQ=/YN[MZ5(PERES>?;;YN[MZ4(PERBS>!WLYL\143<PERBS?!WLYK\7*^KPGU><<;4XMZJ[7)_DQCT?"VCXO]6543<PERBS?!WLYK\7*^KPGU>8<;4XMZJ[7)_DQCT?"VCXO]6143<PERBS?!WLYK\7*^KPGU>:<;4XMZJ[7)_DQCT?"VCXO]6342<PERBS?!WLYK\7*^KPGU==95WLYK\6*^KP@U8#UBWN^5f?]J_AV8$TAVF_5-21>^KP@U9#UBWI^6,\I^NW8::96VCXH]1+]J_AV>$TAVF_0321>^KP@U9#UBWI^6,\I^NW88:96VCXH]1+]J_AV>$TAVF_0121>^KP@U9#UBWI^6,\I^NW8>:96VCXH]1+]J_AV>$TAVF_0721>^KP@U9#UBWI^6,\I^NW8<:86VCXH]1+]J_AV>$TAVF_337?]J_AV8$TAVF_5-[H]OX<8>0TAVF_3-[H]OX<&RGTDQ6159[H]OX:&RGTDQ;/YN[JZ76=2RGTDQ=/YN[MZ2(PERES<<>5:ZO\LY5'QFSER: XMZM[426=2RGTDQ=/YN[MZ2(PERES<;>5:ZO\LY5'QFSER: XMZM[406=2RGTDQ=/YN[MZ2(PERES?9>5:ZO\LY5'QFSER: XMZM[7>6<2RGTDQ=/YN[MZ2(PERES>?;;YN[MZ4(PERBS9!WLYL\0c=_DQCT?"VCXH]24*713QFSER= XMZJ[46(PERBS<>>6:ZO\LY4'QFSER??/YN[MZ769?1S@UGP3.ZO\LY68&RGTDQ>4048\I^NW:%S@UGP11-[H]OX9<;=7UBWI^1,\I^NW8:$TAVF_0422>^KP@U8#UBWI^33+]J_AV;<=85WLYK\7*^KP@U:<"VCXH];50=_DQCT?"VCXH]24*^KP@U2=;5WLYK\7*^KP@U:<"VCXO]0140<PERBS>!WLYK\55)_DQDT?:?9;YN[MZ5(PERBS<> XMZM[166>2RGTDQ</YN[MZ77'QFSBR:>179[H]OX;&RGTDQ>0.ZO\KY3<8<0TAVF_2-[H]OX99%S@U@P4635?]J_AV9$TAVF_02,\I^IW=3m7UBWI^1,\I^NW88$=;5WLYK\7*^KP@U:>"VCXH]2040<PERBS>!WLYK\57)_DQCT=8?9;YN[MZ5(PERBS<< XMZJ[406>2RGTDQ</YN[MZ75'QFSBR??149[H]OX;&RGTDQ>2.ZO\KY?m2RGTDQ</YN[MZ>(9<1S@UGP3.ZO\LY?'QFSER??149[H]OX;&RGTDQ7/YN[MZ759=1S@UGP3.ZO\LY?'QFSER6>4:ZO\LY4'QFSER6 XMZJ[<723QFSER= XMZJ[=)_DQDT=9?:;YN[MZ5(PERBS5!WLYL\50723QFSER= XMZJ[=)_DQDT=:k4XMZJ[6)_DQCT5"?;;YN[MZ5(PERBS4!WLYK\542<PERBS>!WLYK\=*^KP@U9=95WLYK\7*^KP@U2#UBWI^120>^KP@U8#UBWI^;,\I^NW=;?7UBWI^1,\I^NW0%S@UGP5068\I^NW:%S@UGP9.ZO\LY19=1S@UGP3.ZO\LY>'QFSER9>4:ZO\LY4'QFSER7 XMZM[4733QFSER= XMZJ[<)_DQDT><:4XMZJ[6)_DQCT5"VCXO]051=_DQCT?"VCXH]:+]J_FV>:86VCXH]0+]J_AV3$TAVA_437?]J_AV9$TAVF_8-[H]HX>?1S@UGP469[H]OX<&l0TAVF_5-Nip~XG8;37UBWI^6\43=_DQCT9:5WLYK\1*`<PERBS8!Bmtz\K47?3QFSER;P179[H]OX>>1S@UGP6.d8\I^NW?%FaxvPO03;?]J_AV<T>;5WLYK\32=_DQCT;"h4XMZJ[2)Je|rTC<?7;YN[MZ1X;?1S@UGP869[H]OX1&i0TAVF_8-B[GGUk2RGTDQ6/C]AEWe<PERBS4!L_CCQg>^KP@U2#IQMASg8\I^NW0%S@UGP31g8\I^NW0%S@UGP30g8\I^NW0%S@UGP33g8\I^NW0%S@UGP32g8\I^NW0%S@UGP35g8\I^NW0%S@UGP34g8\I^NW0%S@UGP36g8\I^NW0%S@UGP39g8\I^NW0%S@UGP38g8\I^NW0%S@UGP41g8\I^NW0%S@UGP40g8\I^NW0%S@UGP43g8\I^NW0%S@UGP42g8\I^NW0%S@UGP45g8\I^NW0%S@UGP44g8\I^NW0%S@UGP47g8\I^NW0%S@UGP46g8\I^NW0%S@UGP49g8\I^NW0%S@UGP48f8\I^NW0%S@UGP5d9[H]OX1&RGTDQ:0d9[H]OX1&RGTDQ:1d9[H]OX1&RGTDQ:2d9[H]OX1&RGTDQ:3d9[H]OX1&RGTDQ:4d9[H]OX1&RGTDQ:5d9[H]OX1&RGTDQ:6d9[H]OX1&RGTDQ:7d9[H]OX1&RGTDQ:8e9[H]OX1&RGTDQ9e:ZO\LY>'QFSER8?e:ZO\LY>'QFSER8>e:ZO\LY>'QFSER8=e:ZO\LY>'QFSER8<e:ZO\LY>'QFSER8;e:ZO\LY>'QFSER8:e:ZO\LY>'QFSER89e:ZO\LY>'QFSER88e:ZO\LY>'QFSER87e:ZO\LY>'QFSER86d:ZO\LY>'QFSER9j;YN[MZ?(PERBS:>j;YN[MZ?(PERBS:?j;YN[MZ?(PERBS:<j;YN[MZ?(PERBS:=k;YN[MZ?(PERBS5h4XMZJ[<)_DQDT==;i;YN[MZ?(PERES<?<f:ZO\LY>'QFSBR?>4g9[H]OX1&RGTCQ>24d8\I^NW0%S@U@P134e?]J_AV3$TAVA_00;b>^KP@U2#UBWN^31=c=_DQCT5"VCXO]275`<PERBS4!WLYL\567a3QFSER7 XMZM[422n2RGTDQ6/YN[JZ73>o1S@UGP9.ZO\KY6<>l0TAVF_8-[H]HX9=2m7UBWI^;,\I^IW8>2j6VCXH]:+]J_FV;>=k5WLYK\=*^KPGU:98h4XMZJ[<)_DQDT=88i;YN[MZ?(PERES<;7f:ZO\LY>'QFSBR?90g9[H]OX1&RGTCQ>63d8\I^NW0%S@U@P177f?]J_AV3$TAVA_73f?]J_AV3$TAVA_70f?]J_AV3$TAVA_71f?]J_AV3$TAVA_76f?]J_AV3$TAVA_77f?]J_AV3$TAVA_83f?]J_AV3$TAVA_80f?]J_AV3$TAVA_81f?]J_AV3$TAVA_864?]J_FV;9;6VCXO]162=_DQDT>>94XMZM[7203QFSBR<:7:ZO\KY4;>1S@U@P3558\I^IW:?<7UBWN^153>^KPGU8;:5WLYL\7=1<PERES>78;YN[JZ26?2RGTCQ;269[H]HX<:=0TAVA_564?]J_FV>>96VAOS00?]IU<2RD^?84XRVOMG1<PZ^TKCJ9;Yfa[Lbb3QncSNa}rCnlgnu682RodRM`rsLfpriucz;37Ujg_LcsGjtuKfdxxl`{Tscpl45<PmbTAl~LospNawabl]e:;6Vkh^ObtFiuz[ofc{kkTscplg=_laU[~dcFdy`8\anXX{cfEcxl;Yfk[Utne]s{ik5Wdi]SvlkQm{ybcc94Xej\Pdae3QnfSOgadhld55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg90T~z<;XGP0>\BZF?0mRazt`9b[jssWFH^J85m_nwwe>dXg|~TCO[I5:a\kprf3jUdyyQ@BTD6?aYh}}k0hRazt^MAQC3<mVe~xl5j_nww[JDRN<1mSb{{a:d\kprXGK_M96iPotvb?bYh}}UDNXH<;lcs<>h`lkb`i?9;rgu[vc`Wmcx|RCZSDP\EIS33zclb45|ifl\KGSA02y{mznn7:8|ljnfqe=55wloz\eprhW9Usho!lsu,{ffbzHIz>8<5O@y59B?1=9rY8=7?i1;:6>4548;326<:8bzl2ac<63g;m<784$0g0>gc<uZ8n6<h>:9795657:031=99l;R41>764280:?>>=98820=4<[;o1>==51;30754>13;?495\638147<52898<?76:06;<>U1k38;>76;:01047?>28>3n6]=e;036?4=9:9;>47515:a?a1=83;1>v]<1;3e5?>22898<?76:064f>"6038;;6F<a:l5a?2a;mk?i55+6e8142=#>o0386x[6c83>4<62h8p_>?51g39<0<6;::9544>46`8 gb=><1]=hm52zw45?7<}>81<6s+9g87?!23291/8?4=089'06<2k2h<6=4>2;cf>d4|@kh0(o657:&bf?5<,hk1=k<4n0gg>5=n=3:17d?::188m4g=831b?>4?::kb2?6=3`k36=44o983>>if2900cn4?::mf>5<<g8l?6=44o0d5>5<<g8l36=44o0db>5<<g8lh6=44o0df>5<<g;:;6=44o326>5<<j8o96<4>:183!d?2=o0D<k>;I`a?!7bj3;m?6*91;03<>h6mm0:7)oi:69l0g<72-;nn77n;:a52d=93;1<7>t$c:9ff=O9l;0Dol4$0ga><5<,?;1>=64n0gg>7=#io0<7b7m:18'5`d=1h10qoj6:087>5<7s-h36494H0g2?Mde3-;nn77:;%42>76?3g;nh7=4$`d9`2=n=80;6)?jb;7;?>o2:3:1(<km:4:8?l34290/=hl55998k=5=83.:io46a:9~fae=9391<7>t$c:9=3=O9l;0Dol4$0ga><3<,?;1>=64n0gg>1=#io0o;6g:1;29 4ce2<207d;=:18'5`d==110c5=50;&2ag<>i21vnik51;094?6|,k219o5G1d38Lgd<,8oi6<94$73965><f8oo685+ag8g3>o283:1(<km:4:8?j>4290/=hl59`9K5`g<3thoh7?52;294~"e03?i7E?j1:Jaf>"6mk0:;6*91;03<>h6mm0=7)oi:e58m06=83.:io4:8:9l<6<72-;nn77n;I3fe>=zjmh1=7=50;2x g>=981C=h?4Hc`8 4ce28:0(;?521:8j4cc2>1/mk4k7:k65?6=,8oi6864;h71>5<#9lh19554o9194?"6mk02m65rbed95?4=83:p(o655c9K5`7<@kh0(<km:058 37=:920b<kk:99'ec<c?2c><7>5$0ga>0><3f286=4+1d`9=d=O9lk07plka;397?6=8r.i47?>;I3f5>Nej2.:io4>0:&55?4702d:ii46;%ce>a1<a<;1<7*>ec86<>=n=;0;6)?jb;7;?>i?;3:1(<km:8c8?xdb83;1>7>50z&a<?3e3A;n=6Fmb:&2ag<6?2.==7<?8:l2aa<f3-km6i94i4294?"6mk0>465`8283>!7bj33j7E?ja:9~fa>=93?1<7>t$c:956=O9l;0Dol4$0ga>46<,?;1>=64n0gg>g=#io0o;6g:1;29 4ce2<207d;=:18'5`d==110e8=50;&2ag<2021b994?:%3ff?3?32e3?7>5$0ga><g<3thnn7?54;294~"e033<7E?j1:Jaf>"6mk0296*91;03<>h6mm0h7)oi:d;8m07=83.:io4:8:9j17<72-;nn7;7;:k67?6=,8oi6864;n:0>5<#9lh15l54}cgb>4<4290;w)l7:038L4c63Ahi7)?jb;33?!062;:37c?jd;f8 d`=m01b9<4?:%3ff?3?32c>>7>5$0ga>0><3f286=4+1d`9=d=<ukon6<4=:183!d?2<h0D<k>;I`a?!7bj3;<7)8>:32;?k7bl3o0(lh5e89j15<72-;nn7;7;:m;7?6=,8oi64o4H0gb?>{emm0:6?4?:1y'f=<2j2B:i<5Gbc9'5`d=9>1/:<4=099m5`b=n2.jj7k6;h73>5<#9lh19554o9194?"6mk02m6F>e`98ygcd28096=4?{%`;>0d<@8o:7Elm;%3ff?703-<:6?>7;o3f`?773-km6h74i4294?"6mk0>465`8283>!7bj33j7E?ja:9~ff7=93>1<7>t$c:9=2=O9l;0Dol4$0ga><3<,?;1>=64n0gg>47<,hl1nk5f5083>!7bj3?376g:2;29 4ce2<207d;<:18'5`d==110c5=50;&2ag<>i21vnn>51;194?6|,k21=<5G1d38Lgd<,8oi6<>4$73965><f8oo6<<4$`d9fc=n=80;6)?jb;7;?>o2:3:1(<km:4:8?j>4290/=hl59`98yge528096=4?{%`;>0d<@8o:7Elm;%3ff?703-<:6?>7;o3f`?743-km6oh4i4294?"6mk0>465`8283>!7bj33j7E?ja:9~ff5=9381<7>t$c:91g=O9l;0Dol4$0ga>41<,?;1>=64n0gg>42<,hl1nk5f5183>!7bj3?376a73;29 4ce20k0D<kn;:ag1<62;0;6=u+b986f>N6m81Cno5+1d`952=#>809<55a1df950=#io0ij6g:0;29 4ce2<207b6<:18'5`d=1h1C=ho4;|``b?7=<3:1<v*m8;;4?M7b92Bin6*>ec8:1>"1938;46`>ee822>"fn3i27d;>:18'5`d==110e8<50;&2ag<2021b9>4?:%3ff?3?32e3?7>5$0ga><g<3tho<7?52;294~"e03?i7E?j1:Jaf>"6mk0:;6*91;03<>h6mm0:;6*nf;a:?l37290/=hl55998k=5=83.:io46a:J2ad=<ukio6<4=:183!d?2<h0D<k>;I`a?!7bj3;<7)8>:32;?k7bl3;37)oi:b;8m06=83.:io4:8:9l<6<72-;nn77n;I3fe>=zjji1=7=50;2x g>=981C=h?4Hc`8 4ce28:0(;?521:8j4cc2830(lh5c89j14<72-;nn7;7;:k66?6=,8oi6864;n:0>5<#9lh15l54}caa>4<4290;w)l7:038L4c63Ahi7)?jb;33?!062;:37c?jd;3b?!ga2j30e8?50;&2ag<2021b9?4?:%3ff?3?32e3?7>5$0ga><g<3thhi7?52;294~"e03?i7E?j1:Jaf>"6mk0:;6*91;03<>h6mm0:n6*nf;a:?l37290/=hl55998k=5=83.:io46a:J2ad=<ukij6<4;:183!d?2880D<k>;I`a?!7bj3;;7)8>:32;?k7bl3;h7)oi:b;8m07=83.:io4:8:9j17<72-;nn7;7;:k67?6=,8oi6864;n:0>5<#9lh15l54}c331?7=<3:1<v*m8;;4?M7b92Bin6*>ec8:1>"1938;46`>ee82`>"fn3;;=6g:1;29 4ce2<207d;=:18'5`d==110e8=50;&2ag<2021d4>4?:%3ff??f32wi===51;694?6|,k21=?5G1d38Lgd<,8oi6<>4$73965><f8oo6<k4$`d9557<a<;1<7*>ec86<>=n=;0;6)?jb;7;?>o2;3:1(<km:4:8?j>4290/=hl59`98yg7703;1>7>50z&a<?3e3A;n=6Fmb:&2ag<6?2.==7<?8:l2aa<6n2.jj7??1:k64?6=,8oi6864;n:0>5<#9lh15l5G1dc8?xd68?0:6?4?:1y'f=<2j2B:i<5Gbc9'5`d=9>1/:<4=099m5`b=:91/mk4>009j15<72-;nn7;7;:m;7?6=,8oi64o4H0gb?>{e9981=7:50;2x g>=9;1C=h?4Hc`8 4ce28:0(;?521:8j4cc2;;0(lh51138m07=83.:io4:8:9j17<72-;nn7;7;:k67?6=,8oi6864;n:0>5<#9lh15l54}c333?7=:3:1<v*m8;7a?M7b92Bin6*>ec823>"1938;46`>ee816>"fn3;;=6g:0;29 4ce2<207b6<:18'5`d=1h1C=ho4;|`241<62=0;6=u+b9826>N6m81Cno5+1d`955=#>809<55a1df966=#io0:<<5f5083>!7bj3?376g:2;29 4ce2<207d;<:18'5`d==110c5=50;&2ag<>i21vnk951;694?6|,k215:5G1d38Lgd<,8oi64;4$73965><f8oo6?:4$`d9b6=n=80;6)?jb;7;?>o2:3:1(<km:4:8?l34290/=hl55998k=5=83.:io46a:9~fc3=93>1<7>t$c:957=O9l;0Dol4$0ga>46<,?;1>=64n0gg>73<,hl1j>5f5083>!7bj3?376g:2;29 4ce2<207d;<:18'5`d==110c5=50;&2ag<>i21vnko51;094?6|,k219o5G1d38Lgd<,8oi6<94$73965><f8oo6?84$`d9b6=n=90;6)?jb;7;?>i?;3:1(<km:8c8L4cf32wij54>:383>5}#j10>n6F>e09Kfg=#9lh1=:5+60814==i9ln1>:5+ag8e7>o283:1(<km:4:8?j>4290/=hl59`9K5`g<3thm87?54;294~"e03;97E?j1:Jaf>"6mk0:<6*91;03<>h6mm0946*nf;d0?l36290/=hl55998m04=83.:io4:8:9j16<72-;nn7;7;:m;7?6=,8oi64o4;|`e=?7=:3:1<v*m8;7a?M7b92Bin6*>ec823>"1938;46`>ee81=>"fn3l87d;?:18'5`d==110c5=50;&2ag<>i2B:il54}cd5>4<3290;w)l7:008L4c63Ahi7)?jb;33?!062;:37c?jd;0b?!ga2o90e8?50;&2ag<2021b9?4?:%3ff?3?32c>?7>5$0ga>0><3f286=4+1d`9=d=<uk;:57?56;294~"e03327E?j1:Jaf>"6mk0296*91;03<>h6mm09n6*nf;33b>o293:1(<km:4:8?l35290/=hl55998m05=83.:io4:8:9j11<72-;nn7;7;:k61?6=,8oi6864;n:0>5<#9lh15l54}c323?7=:3:1<v*m8;7a?M7b92Bin6*>ec823>"1938;46`>ee81g>"fn3;;j6g:0;29 4ce2<207b6<:18'5`d=1h1C=ho4;|`257<62;0;6=u+b986f>N6m81Cno5+1d`952=#>809<55a1df96a=#io0:<k5f5183>!7bj3?376a73;29 4ce20k0D<kn;:a540=93>1<7>t$c:957=O9l;0Dol4$0ga>46<,?;1>=64n0gg>7c<,hl1==h4i4394?"6mk0>465f5383>!7bj3?376g:3;29 4ce2<207b6<:18'5`d=1h10qo?>5;396?6=8r.i47;m;I3f5>Nej2.:io4>7:&55?4702d:ii4=f:&bb?77n2c><7>5$0ga>0><3f286=4+1d`9=d=O9lk07pl>1e82>7<729q/n54:b:J2a4=Ojk1/=hl5169'24<5811e=hj5319'ec<68o1b9=4?:%3ff?3?32e3?7>5$0ga><g<@8oj76sm10695?3=83:p(o65129K5`7<@kh0(<km:028 37=:920b<kk:238 d`=99l0e8?50;&2ag<2021b9?4?:%3ff?3?32c>?7>5$0ga>0><3`??6=4+1d`91==<g191<7*>ec8:e>=zj8;86<4=:183!d?2<h0D<k>;I`a?!7bj3;<7)8>:32;?k7bl3997)oi:02e?l37290/=hl55998k=5=83.:io46a:J2ad=<uk;:n7?52;294~"e03?i7E?j1:Jaf>"6mk0:;6*91;03<>h6mm08?6*nf;33b>o283:1(<km:4:8?j>4290/=hl59`9K5`g<3th:==4>:583>5}#j10:>6F>e09Kfg=#9lh1==5+60814==i9ln1?95+ag824c=n=80;6)?jb;7;?>o2:3:1(<km:4:8?l34290/=hl55998k=5=83.:io46a:9~f47?28096=4?{%`;>0d<@8o:7Elm;%3ff?703-<:6?>7;o3f`?523-km6<>i;h73>5<#9lh19554o9194?"6mk02m6F>e`98yg7693;197>50z&a<?743A;n=6Fmb:&2ag<682.==7<?8:l2aa<4>2.jj7??f:k65?6=,8oi6864;h71>5<#9lh19554i4194?"6mk0>465f5583>!7bj3?376a73;29 4ce20k07pl>1b82>7<729q/n54:b:J2a4=Ojk1/=hl5169'24<5811e=hj5369'ec<68o1b9=4?:%3ff?3?32e3?7>5$0ga><g<@8oj76sm10c95?2=83:p(o65139K5`7<@kh0(<km:028 37=:920b<kk:2:8 d`=99l0e8?50;&2ag<2021b9?4?:%3ff?3?32c>?7>5$0ga>0><3f286=4+1d`9=d=<uk;>47?54;294~"e03;97E?j1:Jaf>"6mk0:<6*91;03<>h6mm0856*nf;361>o293:1(<km:4:8?l35290/=hl55998m05=83.:io4:8:9l<6<72-;nn77n;:a500=9381<7>t$c:91g=O9l;0Dol4$0ga>41<,?;1>=64n0gg>6g<,hl1=8;4i4294?"6mk0>465`8283>!7bj33j7E?ja:9~f43>28096=4?{%`;>0d<@8o:7Elm;%3ff?703-<:6?>7;o3f`?5e3-km6<;:;h73>5<#9lh19554o9194?"6mk02m6F>e`98yg72?3;1?7>50z&a<??13A;n=6Fmb:&2ag<>=2.==7<?8:l2aa<4k2.jj7?:5:k65?6=,8oi6864;h71>5<#9lh19554o9194?"6mk02m65rb042>4<3290;w)l7:858L4c63Ahi7)?jb;;6?!062;:37c?jd;1g?!ga28?o7d;>:18'5`d==110e8<50;&2ag<2021b9>4?:%3ff?3?32e3?7>5$0ga><g<3th::=4>:583>5}#j10:>6F>e09Kfg=#9lh1==5+60814==i9ln1?h5+ag821a=n=80;6)?jb;7;?>o2:3:1(<km:4:8?l34290/=hl55998k=5=83.:io46a:9~f40528096=4?{%`;>0d<@8o:7Elm;%3ff?703-<:6?>7;o3f`?5a3-km6<;k;h73>5<#9lh19554o9194?"6mk02m6F>e`98yg71;3;1>7>50z&a<?3e3A;n=6Fmb:&2ag<6?2.==7<?8:l2aa<382.jj7?:d:k64?6=,8oi6864;n:0>5<#9lh15l5G1dc8?xd6=l0:6>4?:1y'f=<692B:i<5Gbc9'5`d=991/:<4=099m5`b=<81/mk4>5e9j14<72-;nn7;7;:k66?6=,8oi6864;n:0>5<#9lh15l54}c350?7=:3:1<v*m8;7a?M7b92Bin6*>ec823>"1938;46`>ee876>"fn3;>h6g:0;29 4ce2<207b6<:18'5`d=1h1C=ho4;|`21c<62:0;6=u+b9825>N6m81Cno5+1d`955=#>809<55a1df906=#io0:9i5f5083>!7bj3?376g:2;29 4ce2<207b6<:18'5`d=1h10qo?;6;391?6=8r.i4777;I3f5>Nej2.:io465:&55?4702d:ii4;4:&bb?74n2c>=7>5$0ga>0><3`?96=4+1d`91==<a<91<7*>ec86<>=n==0;6)?jb;7;?>i?;3:1(<km:8c8?xd6<>0:6>4?:1y'f=<692B:i<5Gbc9'5`d=991/:<4=099m5`b=<<1/mk4>3g9j14<72-;nn7;7;:k66?6=,8oi6864;n:0>5<#9lh15l54}c377?7=<3:1<v*m8;31?M7b92Bin6*>ec824>"1938;46`>ee872>"fn3;8j6g:1;29 4ce2<207d;=:18'5`d==110e8=50;&2ag<2021d4>4?:%3ff??f32wi=9<51;094?6|,k219o5G1d38Lgd<,8oi6<94$73965><f8oo6994$`d956`<a<:1<7*>ec86<>=h0:0;6)?jb;;b?M7bi21vn<:;:081>5<7s-h368l4H0g2?Mde3-;nn7?8;%42>76?3g;nh7:7;%ce>45a3`?;6=4+1d`91==<g191<7*>ec8:e>N6mh10qo?;0;397?6=8r.i47?>;I3f5>Nej2.:io4>0:&55?4702d:ii4;9:&bb?74n2c>=7>5$0ga>0><3`?96=4+1d`91==<g191<7*>ec8:e>=zj8>>6<4=:183!d?2<h0D<k>;I`a?!7bj3;<7)8>:32;?k7bl3>j7)oi:01e?l37290/=hl55998k=5=83.:io46a:J2ad=<uk;?=7?53;294~"e03;:7E?j1:Jaf>"6mk0:<6*91;03<>h6mm0?n6*nf;30b>o293:1(<km:4:8?l35290/=hl55998k=5=83.:io46a:9~f43528086=4?{%`;>47<@8o:7Elm;%3ff?773-<:6?>7;o3f`?2d3-km6<:i;h72>5<#9lh19554i4094?"6mk0>465`8283>!7bj33j76sm14395?4=83:p(o655c9K5`7<@kh0(<km:058 37=:920b<kk:5f8 d`=9=l0e8>50;&2ag<2021d4>4?:%3ff??f3A;nm65rb073>4<3290;w)l7:858L4c63Ahi7)?jb;;6?!062;:37c?jd;6f?!ga28>m7d;>:18'5`d==110e8<50;&2ag<2021b9>4?:%3ff?3?32e3?7>5$0ga><g<3th:?=4>:283>5}#j102:6F>e09Kfg=#9lh1585+60814==i9ln18k5+ag826g=n=80;6)?jb;7;?>o2:3:1(<km:4:8?j>4290/=hl59`98yg74<3;1?7>50z&a<??13A;n=6Fmb:&2ag<>=2.==7<?8:l2aa<282.jj7?=b:k65?6=,8oi6864;h71>5<#9lh19554o9194?"6mk02m65rb011>4<4290;w)l7:038L4c63Ahi7)?jb;33?!062;:37c?jd;72?!ga288i7d;>:18'5`d==110e8<50;&2ag<2021d4>4?:%3ff??f32wi=?k51;094?6|,k219o5G1d38Lgd<,8oi6<94$73965><f8oo68<4$`d957d<a<:1<7*>ec86<>=h0:0;6)?jb;;b?M7bi21vn<=<:080>5<7s-h36<?4H0g2?Mde3-;nn7??;%42>76?3g;nh7;<;%ce>44e3`?:6=4+1d`91==<a<81<7*>ec86<>=h0:0;6)?jb;;b?>{e9;l1=7<50;2x g>==k1C=h?4Hc`8 4ce28=0(;?521:8j4cc2<>0(lh513`8m06=83.:io4:8:9l<6<72-;nn77n;I3fe>=zj89>6<4=:183!d?2<h0D<k>;I`a?!7bj3;<7)8>:32;?k7bl3?>7)oi:00a?l37290/=hl55998k=5=83.:io46a:J2ad=<uk;8=7?53;294~"e03;:7E?j1:Jaf>"6mk0:<6*91;03<>h6mm0>:6*nf;31f>o293:1(<km:4:8?l35290/=hl55998k=5=83.:io46a:9~f44c280?6=4?{%`;>44<@8o:7Elm;%3ff?773-<:6?>7;o3f`?303-km6<<m;h72>5<#9lh19554i4094?"6mk0>465f5283>!7bj3?376a73;29 4ce20k07pl>2b82>7<729q/n54:b:J2a4=Ojk1/=hl5169'24<5811e=hj5599'ec<6:k1b9=4?:%3ff?3?32e3?7>5$0ga><g<@8oj76sm16594?>=93=pDol4$c:92d=#<o0:;l5a1df91<=n;=0;66g<5;29?l512900e>950;9j04<722cj:7>5;hc;>5<<g191<75m7282>2<729q/n5497:J2a4=Ojk1/=hl5a49'24<5811/9l4<9:l2aa<2i2.jj7?88:k65?6=,8oi6;5a1d;94>=n=;0;6)?jb;48j4c>2810e8=50;&2ag<13g;n57<4;h77>5<#9lh1:6`>e880?>o2=3:1(<km:79m5`?=<21b9;4?:%3ff?0<f8o26854o9194?"6mk02m65rs2694?4|V:>01:=5549~w63=838pR>;4=61911=z{:<1<7<t^248925==?1v>950;0xZ61<5>919?5rs`494?4|Vh<01:=5509~wd>=838pRl64=61916=z{191<7<t^918925=0:1vqo?83;29<?7=?rBin6*m8;4b?!2a28==7c?jd;7a?l532900e>;50;9j73<722c8;7>5;h62>5<<ah<1<75fa983>>i?;3:17o9<:084>5<7s-h36;94H0g2?Mde3-;nn7o:;%42>76?3-?j6>74n0gg>0e<,hl1=::4i4394?"6mk0=7c?j9;28?l35290/=hl56:l2a<<632c>?7>5$0ga>3=i9l31>65f5583>!7bj3<0b<k6:298m03=83.:io49;o3f=?2<3`?=6=4+1d`92>h6m00>76a73;29 4ce20k07p}<4;296~X4<27<?7;:;|q01?6=:rT896383;77?xu4>3:1>vP<6:?47?313ty8;7>52z\03>;0;3?97p}n6;296~Xf>27<?7;>;|qb<?6=:rTj46383;70?xu?;3:1>vP73:?47?>43twi=;h50;:95?1|@kh0(o656`9'0c<6?;1e=hj55e9j71<722c897>5;h15>5<<a:=1<75f4083>>of>3:17do7:188k=5=831i;>4>:683>5}#j10=;6F>e09Kfg=#9lh1m85+60814==#=h0856`>ee86a>"fn3;<<6g:1;29 4ce2?1e=h750:9j17<72-;nn784n0g:>4=<a<91<7*>ec85?k7b13807d;;:18'5`d=>2d:i44<;:k61?6=,8oi6;5a1d;90>=n=?0;6)?jb;48j4c>2<10c5=50;&2ag<>i21v>:50;0xZ62<5>91985rs2794?4|V:?01:=5559~w60=838pR>84=61913=z{:=1<7<t^258925==;1vl850;0xZd0<5>919<5rs`:94?4|Vh201:=5529~w=5=838pR5=4=619<6=zuk;=n7>58;393~Nej2.i478n;%6e>40b3g;nh7;i;h17>5<<a:?1<75f3783>>o4?3:17d:>:188md0=831bm54?::m;7?6=3k=86<48:183!d?2?=0D<k>;I`a?!7bj3k>7)8>:32;?!3f2:30b<kk:728 d`=9?i0e8?50;&2ag<13g;n57>4;h71>5<#9lh1:6`>e882?>o2;3:1(<km:79m5`?=:21b994?:%3ff?0<f8o26>54i4794?"6mk0=7c?j9;68?l31290/=hl56:l2a<<232e3?7>5$0ga><g<3ty887>52z\00>;0;3?>7p}<5;296~X4=27<?7;;;|q02?6=:rT8:6383;75?xu4?3:1>vP<7:?47?353tyj:7>52z\b2>;0;3?:7p}n8;296~Xf027<?7;<;|q;7?6=:rT3?6383;:0?x{e9ho1=7<50;2x g>=9>1C=h?4Hc`8 4ce28=0(;?521:8j4cc2?;0(lh516a8m06=83.:io4:8:9l<6<72-;nn77n;:a5d?=9381<7>t$c:952=O9l;0Dol4$0ga>41<,?;1>=64n0gg>34<,hl1=:m4i4294?"6mk0>465`8283>!7bj33j76sm18g95?4=83:p(o65169K5`7<@kh0(<km:058 37=:920b<kk:718 d`=9>i0e8>50;&2ag<2021d4>4?:%3ff??f32wi=4:51;094?6|,k21=:5G1d38Lgd<,8oi6<94$73965><f8oo6;:4$`d952e<a<:1<7*>ec86<>=h0:0;6)?jb;;b?>{e9h=1=7<50;2x g>==k1C=h?4Hc`8 4ce28=0(;?521:8j4cc2??0(lh516a8m06=83.:io4:8:9l<6<72-;nn77n;I3fe>=zj8k36<4=:183!d?2<h0D<k>;I`a?!7bj3;<7)8>:32;?k7bl3<=7)oi:05`?l37290/=hl55998k=5=83.:io46a:J2ad=<uk;jn7?52;294~"e03?i7E?j1:Jaf>"6mk0:;6*91;03<>h6mm0=;6*nf;34g>o283:1(<km:4:8?j>4290/=hl59`9K5`g<3th:ml4>:383>5}#j10>n6F>e09Kfg=#9lh1=:5+60814==i9ln1:55+ag823f=n=90;6)?jb;7;?>i?;3:1(<km:8c8L4cf32wi=l;51;094?6|,k219o5G1d38Lgd<,8oi6<94$73965><f8oo6;74$`d952e<a<:1<7*>ec86<>=h0:0;6)?jb;;b?M7bi21vn<ok:081>5<7s-h368l4H0g2?Mde3-;nn7?8;%42>76?3g;nh78n;%ce>41d3`?;6=4+1d`91==<g191<7*>ec8:e>N6mh10qo?n4;396?6=8r.i47;m;I3f5>Nej2.:io4>7:&55?4702d:ii49b:&bb?70k2c><7>5$0ga>0><3f286=4+1d`9=d=O9lk07pl>a782>7<729q/n54:b:J2a4=Ojk1/=hl5169'24<5811e=hj56b9'ec<6?j1b9=4?:%3ff?3?32e3?7>5$0ga><g<@8oj76sm18:95?5=83:p(o65979K5`7<@kh0(<km:878 37=:920b<kk:7f8 d`=9>i0e8?50;&2ag<2021b9?4?:%3ff?3?32e3?7>5$0ga><g<3th:5n4>:283>5}#j10:=6F>e09Kfg=#9lh1==5+60814==i9ln1:h5+ag823f=n=80;6)?jb;7;?>o2:3:1(<km:4:8?j>4290/=hl59`98yg7?l3;1?7>50z&a<?763A;n=6Fmb:&2ag<682.==7<?8:l2aa<1n2.jj7?8c:k65?6=,8oi6864;h71>5<#9lh19554o9194?"6mk02m65rb0;4>4<2290;w)l7:8:8L4c63Ahi7)?jb;;6?!062;:37c?jd;53?!ga28=h7d;>:18'5`d==110e8<50;&2ag<2021b9>4?:%3ff?3?32c>87>5$0ga>0><3f286=4+1d`9=d=<uk;2?7?53;294~"e03;:7E?j1:Jaf>"6mk0:<6*91;03<>h6mm0<=6*nf;34g>o293:1(<km:4:8?l35290/=hl55998k=5=83.:io46a:9~f4>b28086=4?{%`;>47<@8o:7Elm;%3ff?773-<:6?>7;o3f`?153-km6<9l;h72>5<#9lh19554i4094?"6mk0>465`8283>!7bj33j76sm19195?5=83:p(o65109K5`7<@kh0(<km:028 37=:920b<kk:618 d`=9>i0e8?50;&2ag<2021b9?4?:%3ff?3?32e3?7>5$0ga><g<3th:5k4>:483>5}#j10246F>e09Kfg=#9lh1585+60814==i9ln1;95+ag823f=n=80;6)?jb;7;?>o2:3:1(<km:4:8?l34290/=hl55998m02=83.:io4:8:9l<6<72-;nn77n;:a5<b=93?1<7>t$c:9===O9l;0Dol4$0ga><3<,?;1>=64n0gg>23<,hl1=:m4i4394?"6mk0>465f5383>!7bj3?376g:3;29 4ce2<207d;;:18'5`d==110c5=50;&2ag<>i21vn<6m:086>5<7s-h36464H0g2?Mde3-;nn77:;%42>76?3g;nh799;%ce>41d3`?:6=4+1d`91==<a<81<7*>ec86<>=n=:0;6)?jb;7;?>o2<3:1(<km:4:8?j>4290/=hl59`98yg7fk3;1>7>50z&a<?3e3A;n=6Fmb:&2ag<6?2.==7<?8:l2aa<0?2.jj7?8c:k64?6=,8oi6864;n:0>5<#9lh15l5G1dc8?xd60<0:6;4?:1y'f=<>12B:i<5Gbc9'5`d=1<1/:<4=099m5`b=?11/mk4>7b9j14<72-;nn7;7;:k66?6=,8oi6864;h70>5<#9lh19554i4694?"6mk0>465f5483>!7bj3?376a73;29 4ce20k07pl>9082>1<729q/n5467:J2a4=Ojk1/=hl5949'24<5811e=hj5789'ec<6?j1b9<4?:%3ff?3?32c>>7>5$0ga>0><3`?86=4+1d`91==<g191<7*>ec8:e>=zj82j6<4=:183!d?2<h0D<k>;I`a?!7bj3;<7)8>:32;?k7bl3=j7)oi:05`?l37290/=hl55998k=5=83.:io46a:J2ad=<uk;j>7?53;294~"e03;:7E?j1:Jaf>"6mk0:<6*91;03<>h6mm0<n6*nf;34g>o293:1(<km:4:8?l35290/=hl55998k=5=83.:io46a:9~f4g628086=4?{%`;>47<@8o:7Elm;%3ff?773-<:6?>7;o3f`?1d3-km6<9l;h72>5<#9lh19554i4094?"6mk0>465`8283>!7bj33j76sm18795?5=83:p(o65109K5`7<@kh0(<km:028 37=:920b<kk:6f8 d`=9>i0e8?50;&2ag<2021b9?4?:%3ff?3?32e3?7>5$0ga><g<3th:5?4>:283>5}#j10:=6F>e09Kfg=#9lh1==5+60814==i9ln1;h5+ag823f=n=80;6)?jb;7;?>o2:3:1(<km:4:8?j>4290/=hl59`98yg7?n3;187>50z&a<?753A;n=6Fmb:&2ag<682.==7<?8:l2aa<0n2.jj7?8c:k65?6=,8oi6864;h71>5<#9lh19554i4194?"6mk0>465`8283>!7bj33j76sm19;95?4=83:p(o655c9K5`7<@kh0(<km:058 37=:920b<kk:928 d`=9>i0e8>50;&2ag<2021d4>4?:%3ff??f3A;nm65rb0:7>4<4290;w)l7:038L4c63Ahi7)?jb;33?!062;:37c?jd;:2?!ga28=h7d;>:18'5`d==110e8<50;&2ag<2021d4>4?:%3ff??f32wi=5<51;694?6|,k21=?5G1d38Lgd<,8oi6<>4$73965><f8oo65<4$`d952e<a<;1<7*>ec86<>=n=;0;6)?jb;7;?>o2;3:1(<km:4:8?j>4290/=hl59`98yg7f83;1?7>50z&a<?763A;n=6Fmb:&2ag<682.==7<?8:l2aa<?;2.jj7?8c:k65?6=,8oi6864;h71>5<#9lh19554o9194?"6mk02m65rb0;a>4<3290;w)l7:008L4c63Ahi7)?jb;33?!062;:37c?jd;:7?!ga28=h7d;>:18'5`d==110e8<50;&2ag<2021b9>4?:%3ff?3?32e3?7>5$0ga><g<3th:544>:583>5}#j10:>6F>e09Kfg=#9lh1==5+60814==i9ln1485+ag823f=n=80;6)?jb;7;?>o2:3:1(<km:4:8?l34290/=hl55998k=5=83.:io46a:9~f4?1280?6=4?{%`;>44<@8o:7Elm;%3ff?773-<:6?>7;o3f`?>13-km6<9l;h72>5<#9lh19554i4094?"6mk0>465f5283>!7bj3?376a73;29 4ce20k07pl>8982>7<729q/n54:b:J2a4=Ojk1/=hl5169'24<5811e=hj5869'ec<6?j1b9=4?:%3ff?3?32e3?7>5$0ga><g<@8oj76sm19395?2=83:p(o65139K5`7<@kh0(<km:028 37=:920b<kk:9:8 d`=9>i0e8?50;&2ag<2021b9?4?:%3ff?3?32c>?7>5$0ga>0><3f286=4+1d`9=d=<uk;j?7?53;294~"e03;:7E?j1:Jaf>"6mk0:<6*91;03<>h6mm0356*nf;34g>o293:1(<km:4:8?l35290/=hl55998k=5=83.:io46a:9~f4?f280?6=4?{%`;>44<@8o:7Elm;%3ff?773-<:6?>7;o3f`?>f3-km6<9l;h72>5<#9lh19554i4094?"6mk0>465f5283>!7bj3?376a73;29 4ce20k07pl>9182>6<729q/n54>e19K5`7<@kh0(<km:0fe?!062;:37c?jd;:a?!ga28=h7d;>:18'5`d==110e8<50;&2ag<2021d4>4?:%3ff??f32wi=5m51;194?6|,k21=ik4H0g2?Mde3-;nn7?kf:&55?4702d:ii47c:&bb?70k2c>=7>5$0ga>0><3`?96=4+1d`91==<g191<7*>ec8:e>N6mh10qo?77;396?6=8r.i47;m;I3f5>Nej2.:io4>7:&55?4702d:ii47d:&bb?70k2c><7>5$0ga>0><3f286=4+1d`9=d=O9lk07pl>8782>6<729q/n54>1:J2a4=Ojk1/=hl5119'24<5811e=hj58d9'ec<6?j1b9<4?:%3ff?3?32c>>7>5$0ga>0><3f286=4+1d`9=d=<uk;?6<4=:183!d?2<=0D<k>;I`a?!7bj3;<7E;k;%7f>0><,?;1>=64n0gg>=`<,hl1;6g:0;29 4ce2<207b6<:18'5`d=1h10qo?6:081>5<7s-h36894H0g2?Mde3-;nn7?8;I7g?!3b2<20(;?521:8j4cc20:0(lh57:k64?6=,8oi6864;n:0>5<#9lh15l54}c11>4<5290;w)l7:458L4c63Ahi7)?jb;34?M3c3-?n6864$73965><f8oo64?4$`d93>o283:1(<km:4:8?j>4290/=hl59`98yg5e28096=4?{%`;>01<@8o:7Elm;%3ff?703A?o7);j:4:8 37=:920b<kk:808 d`=?2c><7>5$0ga>0><3f286=4+1d`9=d=<ukk<6<4=:183!d?2<=0D<k>;I`a?!7bj3;<7E;k;%7f>0><,?;1>=64n0gg><5<,hl1;6g:0;29 4ce2<207b6<:18'5`d=1h10qoo6:081>5<7s-h36894H0g2?Mde3-;nn7?8;I7g?!3b2<20(;?521:8j4cc20>0(lh57:k64?6=,8oi6864;n:0>5<#9lh15l54}c;95?4=83:p(o65939K5`7<@kh0(<km:058L0b<,<o15l5+60814==i9ln1585+ag84?l37290/=hl55998k=5=83.:io46a:9~fg<62;0;6=u+b98:6>N6m81Cno5+1d`952=O=m1/9h46a:&55?4702d:ii466:&bb?1<a<:1<7*>ec86<>=h0:0;6)?jb;;b?>{el3;1>7>50z&a<??53A;n=6Fmb:&2ag<6?2B>h6*:e;;b?!062;:37c?jd;;4?!ga2>1b9=4?:%3ff?3?32e3?7>5$0ga><g<3thm6<4=:183!d?2080D<k>;I`a?!7bj3;<7E;k;%7f><g<,?;1>=64n0gg><><,hl1;6g:0;29 4ce2<207b6<:18'5`d=1h10qo?i5;396?6=8r.i477=;I3f5>Nej2.:io4>7:J6`>"2m33j7)8>:32;?k7bl3327)oi:69j15<72-;nn7;7;:m;7?6=,8oi64o4;|`2b2<62;0;6=u+b98:6>N6m81Cno5+1d`952=O=m1/9h46a:&55?4702d:ii46a:&bb?1<a<:1<7*>ec86<>=h0:0;6)?jb;;b?>{e9o31=7<50;2x g>=1;1C=h?4Hc`8 4ce28=0D8j4$4g9=d=#>809<55a1df9=g=#io0<7d;?:18'5`d==110c5=50;&2ag<>i21vn<hm:081>5<7s-h364<4H0g2?Mde3-;nn7?8;I7g?!3b20k0(;?521:8j4cc20i0(lh57:k64?6=,8oi6864;n:0>5<#9lh15l54}c3e`?7=:3:1<v*m8;;1?M7b92Bin6*>ec823>N2l2.>i77n;%42>76?3g;nh77k;%ce>2=n=90;6)?jb;7;?>i?;3:1(<km:8c8?xd6no0:6?4?:1y'f=<>:2B:i<5Gbc9'5`d=9>1C9i5+5d8:e>"1938;46`>ee8:a>"fn3=0e8>50;&2ag<2021d4>4?:%3ff??f32wi>=?51;094?6|,k215?5G1d38Lgd<,8oi6<94H4f8 0c=1h1/:<4=099m5`b=1o1/mk48;h73>5<#9lh19554o9194?"6mk02m65rb325>4<5290;w)l7:808L4c63Ahi7)?jb;34?M3c3-?n64o4$73965><f8oo6l>4$`d93>o283:1(<km:4:8?j>4290/=hl59`98yg3=93;1<7>t$0ga><c<@kh0D874$4g91==#>:0:n6`>ee8b5>o>m3:1(<km:8g8?xd6=3;1=7>50z&2ag<>m2Bin6F:9:&6a?3?3-<86<84n0gg>d4<a0o1<7*>ec8:a>=zj8k1=7?50;2x 4ce20o0Dol4H4;8 0c==11/:>4<8:l2aa<f;2c2i7>5$0ga><c<3th8?7?51;294~"6mk02i6Fmb:J6=>"2m3?37)8<:0a8j4cc2h>0e4k50;&2ag<>m21vnl851;394?6|,8oi64k4Hc`8L0?<,<o1955+628a1>h6mm0j96g6e;29 4ce20o07pln8;395?6=8r.:io46e:Jaf>N212.>i7;7;%40>g4<f8oo6l84i8g94?"6mk02i65rb982>4<729q/=hl59d9Kfg=O=01/9h46a:&57?1e3g;nh7o8;n;f>5<#9lh15h54}cc95?7=83:p(<km:8g8Lgd<@<30(8k59`9'26<0i2d:ii4n8:m:a?6=,8oi64k4;|``>4<6290;w)?jb;;f?Mde3A?27);j:8c8 35=1m1e=hj5a89l=`<72-;nn77j;:aa?7=93:1<v*>ec8:a>Nej2B>56*:e;;b?!0420i0b<kk:`c8k<c=83.:io46e:9~f4`3280:6=4?{%3ff??b3Ahi7E;6;%7f><g<,?91n>5a1df9eg=h1l0;6)?jb;;f?>{e9o<1=7?50;2x 4ce20o0Dol4H4;8 0c=1h1/:>4m4:l2aa<fk2e2i7>5$0ga><c<3th:j54>:083>5}#9lh15h5Gbc9K1<=#=l02m6*93;`:?k7bl3ko7b7j:18'5`d=1l10qo?ia;395?6=8r.:io46e:Jaf>N212.>i77n;%40>gg<f8oo6lk4o8g94?"6mk02i65rb0d`>4<6290;w)?jb;;f?Mde3A?27);j:8c8 35=>01e=hj5ag9l=`<72-;nn77j;:a5cc=93;1<7>t$0ga><c<@kh0D874$4g9=d=#>:0<56`>ee8a4>i>m3:1(<km:8g8?xd5890:6<4?:1y'5`d=1l1Cno5G589'1`<>i2.=?78?;o3f`?d63f3n6=4+1d`9=`=<uk8;97?51;294~"6mk02i6Fmb:J6=>"2m33j7)8<:7:8j4cc2k80c4k50;&2ag<>m21v<:50;348bb2<:01i65509>ad<2:27h<7;=;<f3>06<5jk19?52111916=:99819>52116916=:n<0>?63i4;70?8`12<901<?8:42894752<:01<?9:41894772<901<?n:418943?2<;01<8?:41894202<801<;>:428940e2:>01<:5829~w4?=83;>w0jk:4289ad==;16ih4:0:?`6?3734;;47;?;<336?3534lj68>4=g6917=:98?19=5210f915=:98:19?52103916=:9<<19=52170915=:9=919>52150915=:9<:19>52121917=:9;o19=5217d971=:9003?6s|3383>4d|5ml19=52d`866>;c03?970kk:4289f5==916oi4:0:?`g?3634ii68?4=025>06<58:968?4=g:915=:n=0>=63>15866>;69:0><63>1c864>;6990>=63>58864>;6>:0><63>5d865>;6<=0><63>41865>;6=90>>63>30866>;6;<0><63>2g864>;6?:08863<2;:0?xu4j3:1=4u2e1864>;c03??70kl:4289f2==916oh4:0:?`e?3634;;;7;?;<330?3634l268>4=g4914=:98219=52103914=:98i19=5210c914=:9<=19?52176915=:9<l19?52157915=:9=;19<52142914=:9;i19=5213f914=:9:;19<52165971=:;k03?6s|a683>0}:9>=1m;521619e3=:9?l1m;5217`9e3=:i>03?6s|a883>0}:9>=1m5521619e==:9?l1m55217`9e==:i003?6s|1e794?4|5jl14>52165973=z{8n?6=4={<f`>=5<58=86>84}r3g7?6=:r7nm76<;<35b?513ty:h?4?:3y>g5<?;27::o4<6:p5a0=838p1<=;:91894102:=0q~?k7;296~;69003?63>72803>{t9m21<7<t=026>=5<58<m6>94}r3g=?6=:r7m;76<;<35f?503ty:hl4?:3y>501=0:16=:95349~w4be2909w0?91;:0?870;39>7p}>db83>7}:9=<14>5217d970=z{8no6=4={<366?>434;=n7=:;|q2g`<72>q6=;l5829>5=0==;16=595519>5=e==;16=4>5539>5<g==:16=l=5539~w4ea2902w0?9f;:0?87?k3?:70?60;72?87?93?:70?78;73?87>>3?870?69;71?87>j3?970?n0;71?xu6l90;6lu21619<6=:90k19<52190916=:91>19<5219;915=:91l19>52180917=:90?19<521`3917=:9h819?5rs0f2>5<0s4;<;76<;<3;5?3434;2:7;=;<3;6?3534;3j7;=;<3;e?3734;2=7;>;|q:>5<5s4;2876<;<;915=z{k0;6?u218g9<6=:j3?;7p}k:18187f132870j5519~wc<72;q6=lk5829>b?373ty:j84?:3y>5d2=0:16=k;5519~w4`02909w0?n5;:0?87a?3?;7p}>f883>7}:9h<14>521g;915=z{8li6=4={<3b<?>434;mn7;?;|q2ba<72;q6=l95829>5cb==91v<hi:18187fi32870?if;73?xu5880;6?u21``9<6=::9;19=5rs325>5<5s4;jh76<;<032?373ty:oi4?:9y>52d=1k16=:95409>525=<816=;h5409>53d=<816=4:5519>5<c==916=l75519~w2>=838p1<k=:5`894gb2<:0q~k::1818b>21901il5509~w`4=838p1i75529>``<?;2wxi54?:3y>`a<?;27o47;<;|qf2?6=:r7oo7;=;<fa>=5<uzo86=4={<f:>07<5ml14>5rsd394?4|5m319?52d`8;7>{tm=0;6?u2d`865>;b83287p}j7;296~;ck3?:70j7:918yv`52909w0km:9189`g==81vk?50;0x9`d==816ih473:pb5<72;q6io4:2:?f`?>43tynj7>52z?ff?3434oh65=4}ra;>5<5s4i:65=4=b2914=z{j?1<7<t=b3916=:k;03?6s|c783>7}:k80>>63l3;:0?xud?3:1>v3l1;72?8e32190q~j>:1818b721901nl5539~wa4=838p1nj5829>gd<2;2wxh;4?:3y>gc<2927ho76<;|qg0?6=:r7hj7;<;<aa>=5<uzn86=4={<a`>04<5jo14>5rse794?4|5jl19?52c`8;7>{t99k1<7<t=026>04<58:865=4}r33a?6=:r7:<5473:?241<2:2wx==m50;0x94642<801<>9:918yv7713:1>v3>04867>;68;03?6s|11f94?4|58:868?4=024>=5<uz;;n7>52z?240<2927:<9473:pbf<72;q6j:4:2:?e1?>43ty:<=4?:3y>bd<?;27m:7;=;|qea?6=:r7m97;=;<d;>=5<uzli6=4={<d4>05<5o>14>5rsgd94?4|5o?19<52f88;7>{tnm0;6?u2f6865>;a>3287p}>2583>7}:98=14>52106911=z{8;m6=4={<326?>434;:=7;;;|q25`<72;q6=<75559>540=0:1v<<<:181876=32870?>4;70?xu6:h0;6?u210f9<6=:98k19?5rs004>5<5s4;:57;=;<320?>43ty:>=4?:3y>545=0:16=<?5539~w4452909w0?>6;71?876j3287p}>2783>7}:983198521029<6=z{88>6=4={<320?3634;:476<;|q26=<72;q6=<75509>547=0:1v<<6:181876>3?:70?>c;:0?xu6:80;6?u210;916=:98k14>5rs07`>5<5s4;>476<;<363?363ty:9o4?:3y>50>==;16=885829~w43f2909w0?:8;70?87213287p}>6683>7}:9?;19<521729<6=z{8<36=4={<354?3634;=>76<;|q22<<72:q6=;>5539>535=0:16=8h5509~w4012909w0?91;70?872m3287p}>6`83>7}:9<o19?521769<6=z{8<>6=4={<355?3534;>j76<;|q20=<72;q6=985559>511=0:1v<:6:181873>3?:70?;3;:0?xu6<j0;69u2155914=:9=814>52152917=:9=;19?5rs06f>5<5s4;??7;=;<370?>43ty:8o4?:3y>510==;16=9>5829~w42c2909w0?;3;72?873=3287p}>4`83>7}:9=<19>521539<6=z{8?86=4={<366?3534;>=76<;|q211<72;q6=8<5509>506=0:1v<=9:181874;3?:70?<0;:0?xu6;10;6?u21209<6=:9::19<5rs01a>5<5s4;9h7;<;<31a?>43ty:?h4?:3y>565=0:16=>:5509~w45d2909w0?=d;71?875n3287p}>3883>7}:9:?14>52120917=z{89<6=4={<305?>434;8<7;=;|q27a<72;q6=?j5829>562==;1v<=n:181875k32870?<2;72?xu6k90;6?u218:9<6=:9h=19=5rs0`4>5<5s4;2h7;>;<3:g?>43ty:ol4?:3y>5=d==:16=5j5829~w4da2909w0?67;:0?87f03?;7p}>b283>6}:90914>52185916=:90219<5rs0aa>5<5s4;3n7;=;<3;a?>43ty:o;4?:3y>5=3==:16=5=5829~w4e52909w0?6f;:0?87fj3?;7p}>c083>7}:90n14>521`c915=z{8ho6=4={<3;f?>434;j97;?;|q2g6<72;q6=lm5829>5db==91v<ll:18187?=32870?n4;73?xu6jl0;6?u21839<6=:9h<19=5rs0:3>5<fs4;j?7;>;<3:f?3634;387;=;<3:6?3634;j=7;>;<3;e?>434;3?7;=;<3:7?3634;3h7;>;<3:g?363ty:nl4?:3y>5d4=0:16=4h5539~w4d>2909w0?n1;:0?87>n3?87p}>b183>7}:90?14>52185917=z{8h96=4<{<3:6?>434;2;7;;;<3:<?353ty:on4?:3y>5=`=0:16=5l5509~w41a2902w0?71;71?87>>3?:70?69;72?87f83?:70?79;:0?87>93?970?7e;71?87?l3?970?6c;71?xu6k>0;6?u21969<6=:91?19?5rs0a6>5<5s4;3>76<;<3;1?333ty:n54?:3y>5d6=0:16=4h5559~w4d12909w0?6b;:0?87>l3?97p}>b583>7}:90314>5218f911=z{8h:6=4={<3:2?>434;2;7;>;|q23`<721q6=585509>5<g==;16=565829>5<3==;16=l<5509>5=5==816=5k5509>5<5==;1v<m;:18187?932870?75;76?xu6jk0;6?u21`19<6=:90l19<5rs0`6>5<5s4;2m76<;<3:`?343ty:mk4?:3y>5<6=0:16=4?5529~w4e>2909w0?7c;:0?87?j3??7p}>7e83>3}:91=14>5218;916=:90h19>52190914=:91l19<521`a915=z{8i36=4={<3;2?>434;397;>;|q6>5<4sW?01<:5519>1??b3ty:97>53z\21>;613?;70?::8g8yv7f2908wS?n;<11>06<58k15h5rs2194?5|V:901>l5519>76<>m2wxm;4?:2y]e3=:i>0><63n6;;f?xuf03:1?vPn8:?b=?3734k364k4}r:94?5|V116576<;<:9=`=z{h0;6>uQa:?a>=5<5h02i6s|c;297~Xd34n14>52c;;f?xub2908wSk4=g8;7>;b20o0q~?i4;297~X6n=16=k;5829>5c2=1l1v<h9:180[7a>27:j:473:?2b3<>m2wx=k650;1xZ4`?34;m576<;<3e<??b3ty:jl4?:2y]5cg<58li65=4=0db><c<uz;mo7>53z\2bf=:9on14>521ga9=`=z{8ln6=4<{_3ea>;6no03?63>fd8:a>{t:9:1<7=t^323?847932870<?0;;f?xu58<0;6>uQ2178976121901?>::8g8yxh5m00:6?uGbc9m5`b=82we>ho51;0xLgd<f8oo6<5rn3ga>4<5sAhi7c?jd;08yk4bk3;1>vFmb:l2aa<43td9ii4?:4yKfg=i9ln186sa2dg94?3|@kh0b<kk:49~j7ca2909wElm;o3f`?0<ug8m<7>52zJaf>h6mm0<7p`=f083>7}Ojk1e=hj58:m6c4=838pDol4n0gg><=zf;l86=4={I`a?k7bl3k0qc<i4;296~Nej2d:ii4m;|l1b0<72;qCno5a1df9g>{i:o<1<7<tHc`8j4cc2m1vb?h8:181Mde3g;nh7k4}o0e<?6=:rBin6`>ee8e?xh5n00;6?uGbc9m5`b=991vb?hn:181Mde3g;nh7?>;|l1bg<62;qCno5a1df957=#9l=1=:j4}o0eg?7=:rBin6`>ee827>{i:on1=7<tHc`8j4cc28>0qc<ie;396~Nej2d:ii4>5:m6c`=83;pDol4n0gg>40<fk;1=6sa31294?7|@kh0b<kk:058jg7=92we?=?50;3xLgd<f8oo6<64nc395>{i;981<7?tHc`8j4cc2830bo?50:m755=93;pDol4n0gg>4g<ug9;87?51zJaf>h6mm0:n6sa31795?7|@kh0b<kk:0a8yk57>3;1=vFmb:l2aa<6l2we?=951;3xLgd<f8oo6<k4}o13<?7=9rBin6`>ee82b>{i;931=7?tHc`8j4cc2;:0qc=?a;395~Nej2d:ii4=1:&2a2<6?m1vb>>m:182Mde3g;nh7<=;o`2>4=zf::h6=4>{I`a?k7bl3887cl>:19~j66c290:wElm;o3f`?433td8<h4>:0yKfg=i9ln1>85+1d5951=zf::m6<4>{I`a?k7bl38=7)?j7;3:?xh4990;6<uGbc9m5`b=:>1vb>?>:082Mde3g;nh7<7;%3f3?553td8=?4?:0yKfg=i9ln1>45rn230>4<6sAhi7c?jd;0b?!7b?39i7p`<1583>4}Ojk1e=hj52c9~j672290:wElm;o3f`?4d3td8=;4>:0yKfg=i9ln1>i5+1d595<=zf:;<6<4>{I`a?k7bl38n7)?j7;11?xh4910:6<uGbc9m5`b=:o1/=h953c9~j67>290:wElm;o3f`?573td8=l4>:0yKfg=i9ln1?<5+1d595<=zf:;i6<4>{I`a?k7bl3997)?j7;11?xh49j0:6<uGbc9m5`b=;:1/=h953c9~j67c280:wElm;o3f`?533-;n;7?;;|l05`<628qCno5a1df970=#9l=1??5rn23e>5<6sAhi7c?jd;15?xh4:90;6<uGbc9m5`b=;>1vb><>:082Mde3g;nh7=7;%3f3?5e3td8>?4?:0yKfg=i9ln1?45rn200>5<6sAhi7c?jd;1b?xh4:=0:6<uGbc9m5`b=;k1/=h95189~j642280:wElm;o3f`?5d3-;n;7==;|l063<728qCno5a1df97a=zf:8<6<4>{I`a?k7bl39n7)?j7;1a?xh4:10;6<uGbc9m5`b=;o1vb><6:182Mde3g;nh7:?;|l06d<628qCno5a1df904=#9l=1=45rn20a>4<6sAhi7c?jd;61?!7b?3997p`<2b83>4}Ojk1e=hj5429~j64c280:wElm;o3f`?233-;n;7=m;|l06`<728qCno5a1df900=zf:8m6<4>{I`a?k7bl3>=7)?j7;37?xh4;90:6<uGbc9m5`b=<>1/=h95159~j656290:wElm;o3f`?2?3td8??4>:0yKfg=i9ln1845+1d595<=zf:986<4>{I`a?k7bl3>j7)?j7;3:?xh4;=0;6<uGbc9m5`b=<k1vb>=::082Mde3g;nh7:l;%3f3?553td8?;4>:0yKfg=i9ln18i5+1d5977=zf:9<6=4>{I`a?k7bl3>n7p`<3982>4}Ojk1e=hj54g9'5`1=;k1vb>=6:182Mde3g;nh7;?;|l07d<628qCno5a1df914=#9l=1?o5rn21a>5<6sAhi7c?jd;71?xh4;j0;6<uGbc9m5`b==:1vb>=k:082Mde3g;nh7;;;%3f3?7>3td8?h4>:0yKfg=i9ln1985+1d5977=zf:9m6=4>{I`a?k7bl3?=7p`<4182>4}Ojk1e=hj5569'5`1=901vb>:>:082Mde3g;nh7;7;%3f3?553td88?4?:0yKfg=i9ln1945rn260>4<6sAhi7c?jd;7b?!7b?39i7p`<4583>4}Ojk1e=hj55c9~j622290:wElm;o3f`?3d3td88;4?:0yKfg=i9ln19i5rn264>4<6sAhi7c?jd;7f?!7b?3;27p`<4982>4}Ojk1e=hj55g9'5`1=;;1vb>:6:182Mde3g;nh78?;|l00d<628qCno5a1df924=#9l=1?o5rn26a>5<6sAhi7c?jd;41?xh4<j0:6<uGbc9m5`b=>:1/=h95159~j62c290:wElm;o3f`?033td88h4?:0yKfg=i9ln1:85rn26e>5<6sAhi7c?jd;45?xh4=90:6<uGbc9m5`b=>>1/=h95189~j636290:wElm;o3f`?0?3td89?4>:0yKfg=i9ln1:45+1d5977=zf:?86<4>{I`a?k7bl3<j7)?j7;11?xh4==0;6<uGbc9m5`b=>k1vb>;::182Mde3g;nh78l;|l013<628qCno5a1df92a=#9l=1?o5rn274>4<6sAhi7c?jd;4f?!7b?3;mh6sa34:94?7|@kh0b<kk:7d8yk5213:1=vFmb:l2aa<082we?8o51;3xLgd<f8oo6:?4$0g4>4`e3td89o4?:0yKfg=i9ln1;?5rn27`>5<6sAhi7c?jd;50?xh4=m0;6<uGbc9m5`b=?=1vb>;j:082Mde3g;nh79:;%3f3?4792we?8h51;3xLgd<f8oo6:84$0g4>4`a3td8:=4>:0yKfg=i9ln1;:5+1d595c1<ug9==7?51zJaf>h6mm0<46*>e68143=zf:<96<4>{I`a?k7bl3=27)?j7;3e1>{i;?91=7?tHc`8j4cc2>k0(<k8:0d:?xh4>=0:6<uGbc9m5`b=?k1/=h951e38yk51=3:1=vFmb:l2aa<0k2we?;850;3xLgd<f8oo6:j4}o153?6=9rBin6`>ee84a>{i;?21<7?tHc`8j4cc2>l0qc=99;295~Nej2d:ii470:m73g=93;pDol4n0gg>=7<,8o<6<j?;|l02g<728qCno5a1df9<7=zf:<h6=4>{I`a?k7bl3287p`<6e83>4}Ojk1e=hj5859~j60b290:wElm;o3f`?>23td8:k4?:0yKfg=i9ln14;5rn253>5<6sAhi7c?jd;:4?xh4?80:6<uGbc9m5`b=011/=h951bd8yk50:3:1=vFmb:l2aa<?12we?:=50;3xLgd<f8oo65o4}o140?6=9rBin6`>ee8;f>{i;>?1<7?tHc`8j4cc21i0qc=86;295~Nej2d:ii47d:m721=93;pDol4n0gg>=c<ug9<47>51zJaf>h6mm03j6sa36;94?7|@kh0E4k51z39y_2f28q=?7sa1df9=5=zf:=j6=4>{I`a?L?b28q:6pT;a;3x26<zf8oo64?4}o14f?6=9rBin6G6e;3x5?{]<h0:w;=5}o3f`??53td8;n4?:0yKfg=N1l0:w<4rZ5c95~042td:ii463:m72b=83;pDol4I8g95~7=uS>j6<u93;m5`b=1=1vb>9j:182Mde3@3n6<u>:|X7e?7|>:0vb<kk:878yk50n3:1=vFmb:K:a?7|:3wQ8l4>{719yk7bl33=7cl>:09~j6>7290:wElm;H;f>4}52tP?m7?t628~j4cc20=0bo?51:m7=7=83;pDol4I8g95~4=uS>j6<u93;m5`b=111en<4>;|l0<7<728qCno5F9d827<zR=k1=v8<:|l2aa<>12di=7>4}o1;7?6=9rBin6G6e;3x6?{]<h0:w;=5}o3f`??f3td8494?:0yKfg=N1l0:w?4rZ5c95~042td:ii46b:m7=3=83;pDol4I8g95~4=uS>j6<u93;m5`b=1j1vb>69:182Mde3@3n6<u=:|X7e?7|>:0vb<kk:8f8yk5??3:1=vFmb:K:a?7|:3wQ8l4>{719yk7bl33n7p`<8983>4}Ojk1B5h4>{38~^1g=9r<86p`>ee8:b>{i;131<7?tHc`8M<c=9r81qW:n:0y57?{i9ln1m=5rn2:b>5<6sAhi7D7j:0y1>x\3i3;p:>4rn0gg>d7<ut|_8;4?:082>7?|[:;1>=<52;30754>13;?4o5+be8b4>">n3>0D9m4H628L1b<j>0;6<<53281=~N3?2.i:7:4n5;974=iij09n6`>ee83?l3=831b=84?::k2e?6=3`986=44i`494?=ni10;66a7:188kd<722eh6=44od83>>i6n=0;66a>f783>>i6n10;66a>f`83>>i6nj0;66a>fd83>>i5890;66a=0483>>d6?00:6:4?:1y'f=<1>2.?4768;%ce>41?3A>>7c?jd;38m07=831b9?4?::k67?6=3`??6=44i4794?=n=?0;66a6a;29?xd6?<0:6:4?:1y'f=<1>2.?4767;%ce>4133A>>7c?jd;08m07=831b9?4?::k67?6=3`??6=44i4794?=n=?0;66a6a;29?xd6?80:6:4?:1y'f=<1>2.?4766;%ce>4173A>>7c?jd;18m07=831b9?4?::k67?6=3`??6=44i4794?=n=?0;66a6a;29?xd6>m0:6:4?:1y'f=<1>2.?4769;%ce>40d3A>>7c?jd;68m07=831b9?4?::k67?6=3`??6=44i4794?=n=?0;66a6a;29?xd6110:6>4?:1y'f=<1>2.?477>;%ce>41d3A>>7c?jd;78m07=831b9?4?::m:e?6=3th:5:4>:783>5}#j10=:6*;8;:e?!ga28=h7E::;o3f`?0<a<;1<75f5383>>o2;3:17d;;:188m03=831d5l4?::a5<5=9391<7>t$c:923=#<102=6*nf;34g>N3=2d:ii48;h72>5<<a<81<75`9`83>>{e90l1=7;50;2x g>=>?1/8547c:&bb?70k2B?96`>ee8;?l362900e8<50;9j16<722c>87>5;n;b>5<<uk;2h7?55;294~"e03<=7):7:9c8 d`=9>i0D9;4n0gg><=n=80;66g:2;29?l342900e8:50;9l=d<722wi=5l51;794?6|,k21:;5+498;`>"fn3;<o6F;5:l2aa<f3`?:6=44i4094?=n=:0;66g:4;29?j?f2900qo?75;391?6=8r.i4789;%6;>=d<,hl1=:m4H578j4cc2k1b9<4?::k66?6=3`?86=44i4694?=h1h0;66sm18395?3=83:p(o65679'0=<?m2.jj7?8c:J71>h6mm0h7d;>:188m04=831b9>4?::k60?6=3f3j6=44}c3:6?7=;3:1<v*m8;45?!2?20:0(lh516a8L13<f8oo6i5f5083>>o2:3:17b7n:188yg7328096=4?{%`;>01<,hl1;6*:e;7;?M223g;nh7k4i4294?=h0:0;66sm1882>7<729q/n54:7:&bb?1<,<o1955G449m5`b=n2c><7>5;n:0>5<<uk996<4=:183!d?2<=0(lh57:&6a?3?3A>>7c?jd;33?l372900c5=50;9~f6d=9381<7>t$c:912=#io0<7);j:4:8L13<f8oo6<?4i4294?=h0:0;66sma682>7<729q/n54:7:&bb?1<,<o1955G449m5`b=9;1b9=4?::m;7?6=3thj57?52;294~"e03?<7)oi:69'1`<202B?96`>ee827>o283:17b6<:188yg?=9381<7>t$c:9=7=#io0<7);j:8c8L13<f8oo6<:4i4294?=h0:0;66smb;396?6=8r.i477=;%ce>2=#=l02m6F;5:l2aa<6=2c><7>5;n:0>5<<ukn1=7<50;2x g>=1;1/mk48;%7f><g<@=?0b<kk:048m06=831d4>4?::ab?7=:3:1<v*m8;;1?!ga2>1/9h46a:J71>h6mm0:;6g:0;29?j>42900qo?i5;396?6=8r.i477=;%ce>2=#=l02m6F;5:l2aa<602c><7>5;n:0>5<<uk;m;7?52;294~"e03397)oi:69'1`<>i2B?96`>ee82=>o283:17b6<:188yg7a13;1>7>50z&a<??53-km6:5+5d8:e>N3=2d:ii4>a:k64?6=3f286=44}c3ef?7=:3:1<v*m8;;1?!ga2>1/9h46a:J71>h6mm0:n6g:0;29?j>42900qo?id;396?6=8r.i477=;%ce>2=#=l02m6F;5:l2aa<6k2c><7>5;n:0>5<<uk;mj7?52;294~"e03397)oi:69'1`<>i2B?96`>ee82`>o283:17b6<:188yg4793;1>7>50z&a<??53-km6:5+5d8:e>N3=2d:ii4>e:k64?6=3f286=44}c032?7=:3:1<v*m8;;1?!ga2>1/9h46a:J71>h6mm0:j6g:0;29?j>42900qo;51;394?6|,k219k5+5d86<>"1;3;i7E::;o3f`?473fkn6=44}c36>4<6290;w)l7:4d8 0c==11/:>4>6:J71>h6mm09=6ane;29?xd6i3;1=7>50z&a<?3a3-?n6864$7197==O<<1e=hj5239le`<722wi?>4>:083>5}#j10>j6*:e;7;?!0428i0D9;4n0gg>75<gho1<75rb`495?7=83:p(o655g9'1`<202.=?7l:;I66?k7bl38?7boj:188ygg?280:6=4?{%`;>0`<,<o1955+628a6>N3=2d:ii4=5:mba?6=3th36<4>:183!d?20>0(8k59`9'26<0j2B?96`>ee812>ofl3:17pln:082>5<7s-h364:4$4g9=d=#>:0<m6F;5:l2aa<5?2cjh7>5;|``>4<6290;w)l7:868 0c=1h1/:>46d:J71>h6mm0946gnd;29?xdb280:6=4?{%`;><2<,<o15l5+628:g>N3=2d:ii4=9:kb`?6=3th:j94>:083>5}#j10286*:e;;b?!042k90D9;4n0gg>7g<ahn1<75rb0d5>4<6290;w)l7:868 0c=1h1/:>4m4:J71>h6mm09n6gnd;29?xd6n10:6<4?:1y'f=<><2.>i77n;%40>g?<@=?0b<kk:3a8mdb=831vn<hn:082>5<7s-h364:4$4g9=d=#>:0im6F;5:l2aa<5l2cjh7>5;|`2bf<6280;6=u+b98:0>"2m33j7)8<:7;8L13<f8oo6?k4i`f94?=zj8ln6<4>:183!d?20>0(8k59`9'26<012B?96`>ee81b>ofl3:17pl=0182>4<729q/n5464:&6a??f3-<86;>4H578j4cc2::0elj50;9~f762280:6=4?{%`;><2<,<o15l5+6285<>N3=2d:ii4<1:kb`?6=3th8<7?50;294~N6m?1/n54=f:Ja3>N0l2d:ii4<2:ae1<6290;6=uG1d:8 g>=i:1Cn:5G7e9m5`b=;:1vl950;7x941>2<;01<9::43894162<;01<8k:4389d1=0:1vl750;7x941>2<801<9::40894162<801<8k:4089d?=0:1v>l50;7x941>2<901<9::44894162<<01<8k:46896d=0:1v><50;7x941>2<>01<9::41894162<?01<8k:478964=0:1v<:50;7x941>2<?01<9::47894162<>01<8k:418942=0:1v<750;7x941>2<<01<9::46894162<901<8k:44894?=0:1v<j>:18:870133j70?67;76?87>;3?:70?6f;70?87>l3??70?7b;70?87?=3?870?61;72?87>:3?:7p}>d183>=}:9>?15l52185916=:90l19<5218f914=:91h19952197917=:90;19?52180917=z{8im6=47{<345??f34;2;7;;;<3:7?3534;2j7;=;<3:`?3534;3n7;>;<3;1?3634;2=7;<;|q23a<72>q6=;j59`9>5<`===16=4j5529>5=d==;16=5;5559>5<7===16>=85519~w4d42908w0?68;72?87>?3?:70?63;;b?xu6j;0;6>u218:917=:90=19?521809=d=z{8lo6=4={<3:<??f34;mh7;?;|q2bg<72;q6=4959`9>5cd==91v?>>:18187>n33j70<?1;73?xu6no0;6?u218f9=d=:9ol19=5rs0d4>5<5s4;3n77n;<3e3?373ty:j84?:3y>5=3=1h16=k;5519~w4`>2909w0?61;;b?87a13?;7p}::1808732<:0184ne:\6?xu6=3:1?v3>9;73?8722ho0R<;4}r3b>5<4s49968>4=0c9e`=Y9h1v>=50;1x96d==916?>4ne:\07>{ti?0;6>u2a6864>;f>3kn7So9;|qb<?6=;r7j57;?;<c;>dc<Vh20q~750;3x9<<282wx47>53z?:>=5<510jh6P7;|qa>5<6s4h19=5rs`83>6}:j32870o5ae9]e>{tl3:1=v3k:428yve=839p1i473:?`>db<Vj1vk4?:0y>b?373tyn6=4<{<d9<6=:m3ko7Sk4}r3e0?6=;r7:j8473:?2b1<fl2T:j95rs0d5>5<4s4;m;76<;<3e2?gc3W;m:6s|1g:94?5|58l265=4=0d;>db<V8l37p}>f`83>6}:9oh14>521gc9ea=Y9ok0q~?ic;297~;6nm03?63>fb8b`>X6nj1v<hj:18087an32870?ie;cg?[7am2wx>=>50;1x976621901?>?:`f8Z7673ty9<84?:2y>650=0:16>=;5ae9]653<utd?ik4?:0ym5`b=82we8k>50;3xj4cc281vb9h>:182k7bl380qc:i2;295~h6mm087p`;f283>4}i9ln186sa4g694?7|f8oo685rn5d6>5<6sg;nh784}o6e2?6=9rd:ii48;|l7b2<728qe=hj58:m0c>=83;pb<kk:89~j1`>290:wc?jd;c8yk2ai3:1=v`>ee8a?xh3nk0;6<ua1df9g>{i<oi1<7?tn0gg>a=zf=lo6=4>{o3f`?c<ug>mi7>51zl2aa<a3td?jk4?:0ym5`b=991vb8>?:182k7bl3;:7p`:0083>4}i9ln1=?5rn421>5<6sg;nh7?<;|l646<728qe=hj5159~j063290:wc?jd;36?xh28<0;6<ua1df953=zf<:=6=4>{o3f`?703td><:4?:0ym5`b=911vb8>7:182kg6281e=hj5189~j06>290:wc?jd;3b?xh28h0;6<uaa082?k7bl3;i7p`:0c83>4}i9ln1=n5rn42`>5<6sgk:6<5a1df95a=zf<:o6=4>{o3f`?7b3td><h4?:0yme4<73g;nh7?i;|l64c<728qe=hj5219~j077290:wc?jd;02?xh2980;6<ua1df967=zf<;96=4>{o3f`?443td>=>4?:0ym5`b=:=1vb8?;:182k7bl38>7p`:1483>4}i9ln1>;5rn435>5<6sg;nh7<8;|l652<728qe=hj5299~yx{GHJq>8<4:4e;5`d`zHIHp8?u>e483>5<12:2i6>l?:2`e>6b62:n96>kj;|y2a0<7290h6>6l:2`2>6d?2:hh6>m9:2a`>6b32:n>6>ji:2g1>6c>2:l97pu>e483>5<b2:2o6>l<:2`:>6dc2:i;6>m8:2ag>6b02:n36>k?:2g0>6cf2:l?6>h:;|y2a0<7290h6>6j:2`6>6df2:hn6>m<:2a:>6ea2:nj6>jl:2g6>6cd2:l37pu>e483>5<52:k26>h6;|y2a0<729096>o7:2d`?x}6m<0;6=4=:2ca>1673tq:i84?:181>6gf2=::7pu>e483>5<52:k=69>=;|y2a0<7290?6>ol:520>17>2:3o7pu>e483>5<52:k>69>;;|y2a0<729096>o8:526?x}6m<0;6=4=:2c3>1613tq:i84?:181>6?a2=:h7pu>e483>5<52:3n69?<;|y2a1<7290n69:=:05f>3d=?h03=799:026>cg=9<>1=;j514d9506=9?31=864}z3f0?6=83;:69:n:0;5>7?=;:0987=n:53955?=9831=?>511g9g5<b<3io6k;5d98fg>{|9l>1<7>5d;666?7fm3>h6995136957g=9=:1=>7513g9<3<>>32i64k4}z3f0?6=83k188o51b491`<213;?87?;b;c0>g5=i10in6st1d694?6=:3>=>7?i9:x5`2=83:187:96;031?43;38=m6st1d694?6=:3>=h7<?b:x5`2=83:1:7:81;023?42k388j7<<b;043>{|9l>1<7>56;643?46l38=97<8b;054?7al2wp=h:50;292?20l389?7<74;035?47n38?n6st1d694?6=?3>3?7<=c;046?42?38:?7<:3;0;f>{|9l>1<7>53;6;=?44;383<6st1d694?6=:3>3j7<;7:x5`2=83:1>7:63;0;b>{|9l>1<7>52;6:2?4>:2wp=h:50;296?2>138296st1d694?6=:3>2o7<68:x5`2=83:1>7:6f;0:f>{|9l>1<7>52;6b6?4>m2wp=h:50;296?2f=38j=6st1d694?6=:3>j47<n4:x5`2=83:1>7:nb;0b3>{|9l>1<7>52;6ba?4fi2wp=h:50;296?2e938jh6st1d694?6=:3>i87<m0:x5`2=83:1>7:m7;0a7>{|9l>1<7>52;6ae?4e>2wp=h:50;296?2el38i56st1d694?6=:3>h<7<mc:x5`2=83:1>7:l3;0ab>{|9l>1<7>52;6`2?4d:2wp=h:50;296?2d138h96st1d694?6=:3>hn7<l7:x5`2=83:1>7:ld;0`=>{|9l>1<7>52;6`b?4dj2wp=h:50;296?2c938hh6st1d694?6=:3>o?7<lf:x5`2=83:1>7:k5;0g5>{|9l>1<7>52;6g3?4c;2wp=h:50;296?2c138o96st1d694?6=:3>on7<k7:x5`2=83:1>7:kd;0g=>{|9l>1<7>52;6gb?4cj2wp=h:50;296?2b938oh6st1d694?6=:3>n?7<kf:x5`2=83:1>7:j5;0f5>{|9l>1<7>52;6f3?4b;2wp=h:50;296?2b138n96st1d694?6=:3>nn7<j7:x5`2=83:1;7:jd;01>4bb28ni6<j7:0f6>76<ur;n87>50;15>1cb2;n1;=4l7;ab>42?2::19=492;;3>db=l;0o97hi:030>45428?h6>9552851?142091n=4ke;g2>46528;=6<=9:040>4012:o19;498;5f><d=j10n57h=:03g>45c28=96<k>:0g7>4c028oj6<kk:0d0>4`12;826<h?:3:;>75?2;?;6?8i;|CDU}zHI \ No newline at end of file
diff --git a/ALU_map.xrpt b/ALU_map.xrpt
index 0af19e6..57b33c1 100755
--- a/ALU_map.xrpt
+++ b/ALU_map.xrpt
@@ -5,13 +5,13 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
- <application stringID="Map" timeStamp="Wed Feb 15 15:16:01 2012">
+ <application stringID="Map" timeStamp="Thu Feb 16 19:53:04 2012">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
<column stringID="value"/>
<row stringID="row" value="0">
- <item stringID="variable" value="PATH"/>
+ <item stringID="variable" value="Path"/>
<item stringID="value" value="C:\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;C:\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;C:\Xilinx\13.3\ISE_DS\PlanAhead\bin;C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64;C:\Xilinx\13.3\ISE_DS\ISE\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\bin\nt64;C:\Xilinx\13.3\ISE_DS\EDK\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\13.3\ISE_DS\common\bin\nt64;C:\Xilinx\13.3\ISE_DS\common\lib\nt64;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\Program Files\MATLAB\R2011a\runtime\win64;C:\Program Files\MATLAB\R2011a\bin;C:\VXIPNP\WinNT\Bin;C:\Program Files (x86)\Altium Designer Summer 09\System;C:\Program Files (x86)\QuickTime\QTSystem\;C:\Program Files\NetBeans 7.0.1\java\ant\bin;C:\Program Files\Java\jdk1.6.0_27\bin;C:\Program Files\TortoiseSVN\bin;C:\Program Files (x86)\Rational\Rose RealTime\bin\win32;C:\Program Files (x86)\Rational\common;C:\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;C:\Cadence\SPB_16.5\tools\Capture;C:\Cadence\SPB_16.5\tools\PSpice\Library;C:\Cadence\SPB_16.5\tools\PSpice;C:\Cadence\SPB_16.5\tools\specctra\bin;C:\Cadence\SPB_16.5\tools\fet\bin;C:\Cadence\SPB_16.5\tools\libutil\bin;C:\Cadence\SPB_16.5\tools\bin;C:\Cadence\SPB_16.5\tools\pcb\bin"/>
</row>
<row stringID="row" value="1">
@@ -43,7 +43,7 @@
<item stringID="User_EnvOsname" value="Microsoft Windows 7 , 64-bit"/>
<item stringID="User_EnvOsrelease" value="Service Pack 1 (build 7601)"/>
</item>
- <item stringID="User_EnvHost" value="ECE-PHO115-09"/>
+ <item stringID="User_EnvHost" value="ECE-PHO115-08"/>
<table stringID="User_EnvCpu">
<column stringID="arch"/>
<column stringID="speed"/>
@@ -121,8 +121,8 @@
<item dataType="int" stringID="MAP_NUM_ERRORS" value="0"/>
<item dataType="int" stringID="MAP_FILTERED_WARNINGS" value="0"/>
<item dataType="int" stringID="MAP_NUM_WARNINGS" value="0"/>
- <item UNITS="KB" dataType="int" stringID="MAP_PEAK_MEMORY" value="360720"/>
- <item stringID="MAP_TOTAL_REAL_TIME" value="11 secs "/>
+ <item UNITS="KB" dataType="int" stringID="MAP_PEAK_MEMORY" value="358984"/>
+ <item stringID="MAP_TOTAL_REAL_TIME" value="8 secs "/>
<item stringID="MAP_TOTAL_CPU_TIME" value="6 secs "/>
</section>
<section stringID="MAP_SLICE_REPORTING">
@@ -155,10 +155,10 @@
<item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_CARRY4" value="0"/>
<item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
</item>
- <item AVAILABLE="2278" dataType="int" label="Number of occupied Slices" stringID="MAP_OCCUPIED_SLICES" value="5">
+ <item AVAILABLE="2278" dataType="int" label="Number of occupied Slices" stringID="MAP_OCCUPIED_SLICES" value="6">
<item AVAILABLE="595" dataType="int" stringID="MAP_NUM_SLICEL" value="0"/>
<item AVAILABLE="544" dataType="int" stringID="MAP_NUM_SLICEM" value="0"/>
- <item AVAILABLE="1139" dataType="int" stringID="MAP_NUM_SLICEX" value="5"/>
+ <item AVAILABLE="1139" dataType="int" stringID="MAP_NUM_SLICEX" value="6"/>
</item>
<item dataType="int" label="Number of LUT Flip Flop pairs used" stringID="MAP_OCCUPIED_LUT_AND_FF" value="13">
<item dataType="int" stringID="MAP_OCCUPIED_LUT_ONLY" value="13"/>
diff --git a/ALU_ngdbuild.xrpt b/ALU_ngdbuild.xrpt
index bb02950..fad5196 100755
--- a/ALU_ngdbuild.xrpt
+++ b/ALU_ngdbuild.xrpt
@@ -5,13 +5,13 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
- <application stringID="NgdBuild" timeStamp="Wed Feb 15 15:15:47 2012">
+ <application stringID="NgdBuild" timeStamp="Thu Feb 16 19:52:52 2012">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
<column stringID="value"/>
<row stringID="row" value="0">
- <item stringID="variable" value="PATH"/>
+ <item stringID="variable" value="Path"/>
<item stringID="value" value="C:\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;C:\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;C:\Xilinx\13.3\ISE_DS\PlanAhead\bin;C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64;C:\Xilinx\13.3\ISE_DS\ISE\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\bin\nt64;C:\Xilinx\13.3\ISE_DS\EDK\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\13.3\ISE_DS\common\bin\nt64;C:\Xilinx\13.3\ISE_DS\common\lib\nt64;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\Program Files\MATLAB\R2011a\runtime\win64;C:\Program Files\MATLAB\R2011a\bin;C:\VXIPNP\WinNT\Bin;C:\Program Files (x86)\Altium Designer Summer 09\System;C:\Program Files (x86)\QuickTime\QTSystem\;C:\Program Files\NetBeans 7.0.1\java\ant\bin;C:\Program Files\Java\jdk1.6.0_27\bin;C:\Program Files\TortoiseSVN\bin;C:\Program Files (x86)\Rational\Rose RealTime\bin\win32;C:\Program Files (x86)\Rational\common;C:\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;C:\Cadence\SPB_16.5\tools\Capture;C:\Cadence\SPB_16.5\tools\PSpice\Library;C:\Cadence\SPB_16.5\tools\PSpice;C:\Cadence\SPB_16.5\tools\specctra\bin;C:\Cadence\SPB_16.5\tools\fet\bin;C:\Cadence\SPB_16.5\tools\libutil\bin;C:\Cadence\SPB_16.5\tools\bin;C:\Cadence\SPB_16.5\tools\pcb\bin"/>
</row>
<row stringID="row" value="1">
@@ -43,7 +43,7 @@
<item stringID="User_EnvOsname" value="Microsoft Windows 7 , 64-bit"/>
<item stringID="User_EnvOsrelease" value="Service Pack 1 (build 7601)"/>
</item>
- <item stringID="User_EnvHost" value="ECE-PHO115-09"/>
+ <item stringID="User_EnvHost" value="ECE-PHO115-08"/>
<table stringID="User_EnvCpu">
<column stringID="arch"/>
<column stringID="speed"/>
@@ -70,47 +70,39 @@
<item dataType="int" stringID="NGDBUILD_NUM_INFOS" value="0"/>
</section>
<section stringID="NGDBUILD_PRE_UNISIM_SUMMARY">
- <item dataType="int" stringID="NGDBUILD_NUM_AND2" value="22"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_AND2" value="28"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="21"/>
- <item dataType="int" stringID="NGDBUILD_NUM_AND4" value="2"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_AND4" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_BUF" value="4"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="6"/>
- <item dataType="int" stringID="NGDBUILD_NUM_INV" value="45"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_INV" value="53"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6" value="4"/>
- <item dataType="int" stringID="NGDBUILD_NUM_NAND2" value="1"/>
- <item dataType="int" stringID="NGDBUILD_NUM_NAND3" value="1"/>
- <item dataType="int" stringID="NGDBUILD_NUM_NAND4" value="1"/>
- <item dataType="int" stringID="NGDBUILD_NUM_NOR3" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="12"/>
- <item dataType="int" stringID="NGDBUILD_NUM_OR2" value="7"/>
- <item dataType="int" stringID="NGDBUILD_NUM_OR3" value="10"/>
- <item dataType="int" stringID="NGDBUILD_NUM_OR4" value="4"/>
- <item dataType="int" stringID="NGDBUILD_NUM_OR5" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_OR2" value="5"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_OR3" value="9"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_OR4" value="5"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_OR5" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
- <item dataType="int" stringID="NGDBUILD_NUM_XNOR2" value="2"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_XNOR2" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="1"/>
</section>
<section stringID="NGDBUILD_POST_UNISIM_SUMMARY">
- <item dataType="int" stringID="NGDBUILD_NUM_AND2" value="22"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_AND2" value="28"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="21"/>
- <item dataType="int" stringID="NGDBUILD_NUM_AND4" value="2"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_AND4" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_BUF" value="4"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="6"/>
- <item dataType="int" stringID="NGDBUILD_NUM_INV" value="45"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_INV" value="53"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT6" value="4"/>
- <item dataType="int" stringID="NGDBUILD_NUM_NAND2" value="1"/>
- <item dataType="int" stringID="NGDBUILD_NUM_NAND3" value="1"/>
- <item dataType="int" stringID="NGDBUILD_NUM_NAND4" value="1"/>
- <item dataType="int" stringID="NGDBUILD_NUM_NOR3" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="12"/>
- <item dataType="int" stringID="NGDBUILD_NUM_OR2" value="7"/>
- <item dataType="int" stringID="NGDBUILD_NUM_OR3" value="10"/>
- <item dataType="int" stringID="NGDBUILD_NUM_OR4" value="4"/>
- <item dataType="int" stringID="NGDBUILD_NUM_OR5" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_OR2" value="5"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_OR3" value="9"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_OR4" value="5"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_OR5" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
- <item dataType="int" stringID="NGDBUILD_NUM_XNOR2" value="2"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_XNOR2" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="1"/>
</section>
<section stringID="NGDBUILD_CORE_GENERATION_SUMMARY">
diff --git a/ALU_pad.csv b/ALU_pad.csv
index baff75f..5adfef1 100755
--- a/ALU_pad.csv
+++ b/ALU_pad.csv
@@ -1,7 +1,7 @@
#Release 13.3 - par O.76xd (nt64)
#Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
-#Wed Feb 15 15:16:12 2012
+#Thu Feb 16 19:53:16 2012
#
## NOTE: This file is designed to be imported into a spreadsheet program
@@ -26,7 +26,7 @@ A4,,IOBS,IO_L5N_0,UNUSED,,0,,,,,,,,,
A5,,IOBS,IO_L6N_0,UNUSED,,0,,,,,,,,,
A6,,IOBS,IO_L8N_VREF_0,UNUSED,,0,,,,,,,,,
A7,,IOBS,IO_L10N_0,UNUSED,,0,,,,,,,,,
-A8,C,IOB,IO_L33N_0,INPUT,LVCMOS25*,0,,,,NONE,,LOCATED,NO,NONE,
+A8,,IOBS,IO_L33N_0,UNUSED,,0,,,,,,,,,
A9,,IOBS,IO_L35N_GCLK16_0,UNUSED,,0,,,,,,,,,
A10,,IOBS,IO_L37N_GCLK12_0,UNUSED,,0,,,,,,,,,
A11,,IOBS,IO_L39N_0,UNUSED,,0,,,,,,,,,
@@ -58,12 +58,12 @@ B18,,,TMS,,,,,,,,,,,,
C1,,IOBS,IO_L83N_VREF_3,UNUSED,,3,,,,,,,,,
C2,,IOBM,IO_L83P_3,UNUSED,,3,,,,,,,,,
C3,,,GND,,,,,,,,,,,,
-C4,,IOBS,IO_L1N_VREF_0,UNUSED,,0,,,,,,,,,
+C4,A,IOB,IO_L1N_VREF_0,INPUT,LVCMOS25*,0,,,,NONE,,LOCATED,NO,NONE,
C5,,IOBM,IO_L6P_0,UNUSED,,0,,,,,,,,,
C6,,IOBS,IO_L3N_0,UNUSED,,0,,,,,,,,,
C7,,IOBM,IO_L10P_0,UNUSED,,0,,,,,,,,,
C8,,IOBS,IO_L11N_0,UNUSED,,0,,,,,,,,,
-C9,A,IOB,IO_L34N_GCLK18_0,INPUT,LVCMOS25*,0,,,,NONE,,LOCATED,NO,NONE,
+C9,D,IOB,IO_L34N_GCLK18_0,INPUT,LVCMOS25*,0,,,,NONE,,LOCATED,NO,NONE,
C10,,IOBM,IO_L37P_GCLK13_0,UNUSED,,0,,,,,,,,,
C11,,IOBS,IO_L36N_GCLK14_0,UNUSED,,0,,,,,,,,,
C12,,IOBS,IO_L47N_0,UNUSED,,0,,,,,,,,,
@@ -81,7 +81,7 @@ D5,,,GND,,,,,,,,,,,,
D6,,IOBM,IO_L3P_0,UNUSED,,0,,,,,,,,,
D7,,,VCCO_0,,,0,,,,,any******,,,,
D8,,IOBM,IO_L11P_0,UNUSED,,0,,,,,,,,,
-D9,D,IOB,IO_L34P_GCLK19_0,INPUT,LVCMOS25*,0,,,,NONE,,LOCATED,NO,NONE,
+D9,C,IOB,IO_L34P_GCLK19_0,INPUT,LVCMOS25*,0,,,,NONE,,LOCATED,NO,NONE,
D10,,,GND,,,,,,,,,,,,
D11,,IOBM,IO_L36P_GCLK15_0,UNUSED,,0,,,,,,,,,
D12,,IOBM,IO_L47P_0,UNUSED,,0,,,,,,,,,
diff --git a/ALU_pad.txt b/ALU_pad.txt
index 1a30998..1085c83 100755
--- a/ALU_pad.txt
+++ b/ALU_pad.txt
@@ -1,7 +1,7 @@
Release 13.3 - par O.76xd (nt64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
-Wed Feb 15 15:16:19 2012
+Thu Feb 16 19:53:22 2012
INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are:
@@ -27,7 +27,7 @@ Pinout by Pin Number:
|A5 | |IOBS |IO_L6N_0 |UNUSED | |0 | | | | | | | | |
|A6 | |IOBS |IO_L8N_VREF_0 |UNUSED | |0 | | | | | | | | |
|A7 | |IOBS |IO_L10N_0 |UNUSED | |0 | | | | | | | | |
-|A8 |C |IOB |IO_L33N_0 |INPUT |LVCMOS25* |0 | | | |NONE | |LOCATED |NO |NONE |
+|A8 | |IOBS |IO_L33N_0 |UNUSED | |0 | | | | | | | | |
|A9 | |IOBS |IO_L35N_GCLK16_0 |UNUSED | |0 | | | | | | | | |
|A10 | |IOBS |IO_L37N_GCLK12_0 |UNUSED | |0 | | | | | | | | |
|A11 | |IOBS |IO_L39N_0 |UNUSED | |0 | | | | | | | | |
@@ -59,12 +59,12 @@ Pinout by Pin Number:
|C1 | |IOBS |IO_L83N_VREF_3 |UNUSED | |3 | | | | | | | | |
|C2 | |IOBM |IO_L83P_3 |UNUSED | |3 | | | | | | | | |
|C3 | | |GND | | | | | | | | | | | |
-|C4 | |IOBS |IO_L1N_VREF_0 |UNUSED | |0 | | | | | | | | |
+|C4 |A |IOB |IO_L1N_VREF_0 |INPUT |LVCMOS25* |0 | | | |NONE | |LOCATED |NO |NONE |
|C5 | |IOBM |IO_L6P_0 |UNUSED | |0 | | | | | | | | |
|C6 | |IOBS |IO_L3N_0 |UNUSED | |0 | | | | | | | | |
|C7 | |IOBM |IO_L10P_0 |UNUSED | |0 | | | | | | | | |
|C8 | |IOBS |IO_L11N_0 |UNUSED | |0 | | | | | | | | |
-|C9 |A |IOB |IO_L34N_GCLK18_0 |INPUT |LVCMOS25* |0 | | | |NONE | |LOCATED |NO |NONE |
+|C9 |D |IOB |IO_L34N_GCLK18_0 |INPUT |LVCMOS25* |0 | | | |NONE | |LOCATED |NO |NONE |
|C10 | |IOBM |IO_L37P_GCLK13_0 |UNUSED | |0 | | | | | | | | |
|C11 | |IOBS |IO_L36N_GCLK14_0 |UNUSED | |0 | | | | | | | | |
|C12 | |IOBS |IO_L47N_0 |UNUSED | |0 | | | | | | | | |
@@ -82,7 +82,7 @@ Pinout by Pin Number:
|D6 | |IOBM |IO_L3P_0 |UNUSED | |0 | | | | | | | | |
|D7 | | |VCCO_0 | | |0 | | | | |any******| | | |
|D8 | |IOBM |IO_L11P_0 |UNUSED | |0 | | | | | | | | |
-|D9 |D |IOB |IO_L34P_GCLK19_0 |INPUT |LVCMOS25* |0 | | | |NONE | |LOCATED |NO |NONE |
+|D9 |C |IOB |IO_L34P_GCLK19_0 |INPUT |LVCMOS25* |0 | | | |NONE | |LOCATED |NO |NONE |
|D10 | | |GND | | | | | | | | | | | |
|D11 | |IOBM |IO_L36P_GCLK15_0 |UNUSED | |0 | | | | | | | | |
|D12 | |IOBM |IO_L47P_0 |UNUSED | |0 | | | | | | | | |
diff --git a/ALU_par.xrpt b/ALU_par.xrpt
index 41f4b3e..d089c4e 100755
--- a/ALU_par.xrpt
+++ b/ALU_par.xrpt
@@ -5,13 +5,13 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
- <application stringID="par" timeStamp="Wed Feb 15 15:16:09 2012">
+ <application stringID="par" timeStamp="Thu Feb 16 19:53:13 2012">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
<column stringID="value"/>
<row stringID="row" value="0">
- <item stringID="variable" value="PATH"/>
+ <item stringID="variable" value="Path"/>
<item stringID="value" value="C:\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;C:\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;C:\Xilinx\13.3\ISE_DS\PlanAhead\bin;C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64;C:\Xilinx\13.3\ISE_DS\ISE\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\bin\nt64;C:\Xilinx\13.3\ISE_DS\EDK\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\13.3\ISE_DS\common\bin\nt64;C:\Xilinx\13.3\ISE_DS\common\lib\nt64;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\Program Files\MATLAB\R2011a\runtime\win64;C:\Program Files\MATLAB\R2011a\bin;C:\VXIPNP\WinNT\Bin;C:\Program Files (x86)\Altium Designer Summer 09\System;C:\Program Files (x86)\QuickTime\QTSystem\;C:\Program Files\NetBeans 7.0.1\java\ant\bin;C:\Program Files\Java\jdk1.6.0_27\bin;C:\Program Files\TortoiseSVN\bin;C:\Program Files (x86)\Rational\Rose RealTime\bin\win32;C:\Program Files (x86)\Rational\common;C:\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;C:\Cadence\SPB_16.5\tools\Capture;C:\Cadence\SPB_16.5\tools\PSpice\Library;C:\Cadence\SPB_16.5\tools\PSpice;C:\Cadence\SPB_16.5\tools\specctra\bin;C:\Cadence\SPB_16.5\tools\fet\bin;C:\Cadence\SPB_16.5\tools\libutil\bin;C:\Cadence\SPB_16.5\tools\bin;C:\Cadence\SPB_16.5\tools\pcb\bin"/>
</row>
<row stringID="row" value="1">
@@ -43,7 +43,7 @@
<item stringID="User_EnvOsname" value="Microsoft Windows 7 , 64-bit"/>
<item stringID="User_EnvOsrelease" value="Service Pack 1 (build 7601)"/>
</item>
- <item stringID="User_EnvHost" value="ECE-PHO115-09"/>
+ <item stringID="User_EnvHost" value="ECE-PHO115-08"/>
<table stringID="User_EnvCpu">
<column stringID="arch"/>
<column stringID="speed"/>
@@ -67,7 +67,7 @@
<item stringID="PAR_CPU_TIME_COMPLETION_ROUTER" value="6 secs "/>
<item dataType="int" stringID="PAR_UNROUTES" value="0"/>
<item dataType="float" stringID="PAR_TIMING_SCORE" value="0.000000"/>
- <item stringID="PAR_REAL_TIME_COMPLETION_PAR" value="19 secs "/>
+ <item stringID="PAR_REAL_TIME_COMPLETION_PAR" value="16 secs "/>
<item stringID="PAR_CPU_TIME_COMPLETION_PAR" value="7 secs "/>
</section>
</task>
@@ -137,16 +137,10 @@
</row>
<row stringID="row" value="8">
<item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="A8"/>
- <item label="Signal&#xA;Name" stringID="Signal_Name" value="C"/>
- <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOB"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
<item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L33N_0"/>
- <item stringID="Direction" value="INPUT"/>
- <item label="IO&#xA;Standard" sort="smart" stringID="IO_Standard" value="LVCMOS25*"/>
+ <item stringID="Direction" value="UNUSED"/>
<item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
- <item label="IOB&#xA;Delay" stringID="IOB_Delay" value="NONE"/>
- <item label="Constraint" stringID="Constraint" value="LOCATED"/>
- <item label="IO&#xA;Register" stringID="IO_Register" value="NO"/>
- <item label="Signal&#xA;Integrity" stringID="Signal_Integrity" value="NONE"/>
</row>
<row stringID="row" value="9">
<item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="A9"/>
@@ -348,10 +342,16 @@
</row>
<row stringID="row" value="40">
<item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="C4"/>
- <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Signal&#xA;Name" stringID="Signal_Name" value="A"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOB"/>
<item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L1N_VREF_0"/>
- <item stringID="Direction" value="UNUSED"/>
+ <item stringID="Direction" value="INPUT"/>
+ <item label="IO&#xA;Standard" sort="smart" stringID="IO_Standard" value="LVCMOS25*"/>
<item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ <item label="IOB&#xA;Delay" stringID="IOB_Delay" value="NONE"/>
+ <item label="Constraint" stringID="Constraint" value="LOCATED"/>
+ <item label="IO&#xA;Register" stringID="IO_Register" value="NO"/>
+ <item label="Signal&#xA;Integrity" stringID="Signal_Integrity" value="NONE"/>
</row>
<row stringID="row" value="41">
<item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="C5"/>
@@ -383,7 +383,7 @@
</row>
<row stringID="row" value="45">
<item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="C9"/>
- <item label="Signal&#xA;Name" stringID="Signal_Name" value="A"/>
+ <item label="Signal&#xA;Name" stringID="Signal_Name" value="D"/>
<item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOB"/>
<item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L34N_GCLK18_0"/>
<item stringID="Direction" value="INPUT"/>
@@ -508,7 +508,7 @@
</row>
<row stringID="row" value="63">
<item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="D9"/>
- <item label="Signal&#xA;Name" stringID="Signal_Name" value="D"/>
+ <item label="Signal&#xA;Name" stringID="Signal_Name" value="C"/>
<item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOB"/>
<item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L34P_GCLK19_0"/>
<item stringID="Direction" value="INPUT"/>
@@ -2287,13 +2287,13 @@
</task>
</application>
- <application stringID="Par" timeStamp="Wed Feb 15 15:16:09 2012">
+ <application stringID="Par" timeStamp="Thu Feb 16 19:53:13 2012">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
<column stringID="value"/>
<row stringID="row" value="0">
- <item stringID="variable" value="PATH"/>
+ <item stringID="variable" value="Path"/>
<item stringID="value" value="C:\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;C:\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;C:\Xilinx\13.3\ISE_DS\PlanAhead\bin;C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64;C:\Xilinx\13.3\ISE_DS\ISE\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\bin\nt64;C:\Xilinx\13.3\ISE_DS\EDK\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\13.3\ISE_DS\common\bin\nt64;C:\Xilinx\13.3\ISE_DS\common\lib\nt64;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\Program Files\MATLAB\R2011a\runtime\win64;C:\Program Files\MATLAB\R2011a\bin;C:\VXIPNP\WinNT\Bin;C:\Program Files (x86)\Altium Designer Summer 09\System;C:\Program Files (x86)\QuickTime\QTSystem\;C:\Program Files\NetBeans 7.0.1\java\ant\bin;C:\Program Files\Java\jdk1.6.0_27\bin;C:\Program Files\TortoiseSVN\bin;C:\Program Files (x86)\Rational\Rose RealTime\bin\win32;C:\Program Files (x86)\Rational\common;C:\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;C:\Cadence\SPB_16.5\tools\Capture;C:\Cadence\SPB_16.5\tools\PSpice\Library;C:\Cadence\SPB_16.5\tools\PSpice;C:\Cadence\SPB_16.5\tools\specctra\bin;C:\Cadence\SPB_16.5\tools\fet\bin;C:\Cadence\SPB_16.5\tools\libutil\bin;C:\Cadence\SPB_16.5\tools\bin;C:\Cadence\SPB_16.5\tools\pcb\bin"/>
</row>
<row stringID="row" value="1">
@@ -2325,7 +2325,7 @@
<item stringID="User_EnvOsname" value="Microsoft Windows 7 , 64-bit"/>
<item stringID="User_EnvOsrelease" value="Service Pack 1 (build 7601)"/>
</item>
- <item stringID="User_EnvHost" value="ECE-PHO115-09"/>
+ <item stringID="User_EnvHost" value="ECE-PHO115-08"/>
<table stringID="User_EnvCpu">
<column stringID="arch"/>
<column stringID="speed"/>
@@ -2366,10 +2366,10 @@
<item dataType="int" stringID="PAR_NUM_LUT_RT_DRIVES_CARRY4" value="0"/>
<item dataType="int" stringID="PAR_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
</item>
- <item AVAILABLE="2278" dataType="int" stringID="PAR_OCCUPIED_SLICES" value="5">
+ <item AVAILABLE="2278" dataType="int" stringID="PAR_OCCUPIED_SLICES" value="6">
<item AVAILABLE="595" dataType="int" stringID="PAR_NUM_SLICEL" value="0"/>
<item AVAILABLE="544" dataType="int" stringID="PAR_NUM_SLICEM" value="0"/>
- <item AVAILABLE="1139" dataType="int" stringID="PAR_NUM_SLICEX" value="5"/>
+ <item AVAILABLE="1139" dataType="int" stringID="PAR_NUM_SLICEX" value="6"/>
</item>
<item dataType="int" stringID="PAR_OCCUPIED_LUT_AND_FF" value="13">
<item dataType="int" stringID="PAR_OCCUPIED_LUT_ONLY" value="13"/>
diff --git a/ALU_summary.html b/ALU_summary.html
index 840a7a1..dd1ef6d 100755
--- a/ALU_summary.html
+++ b/ALU_summary.html
@@ -2,7 +2,7 @@
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
-<TD ALIGN=CENTER COLSPAN='4'><B>ALU Project Status</B></TD></TR>
+<TD ALIGN=CENTER COLSPAN='4'><B>ALU Project Status (02/16/2012 - 18:30:33)</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>lab1.xise</TD>
@@ -13,26 +13,25 @@
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
<TD>ALU</TD>
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
-<TD>Placed and Routed</TD>
+<TD>Mapped</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
<TD>xc6slx16-3csg324</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
-<TD>
-No Errors</TD>
+<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
-<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.4</TD>
+<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.3</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
-<TD ALIGN=LEFT><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/_xmsgs/*.xmsgs?&DataKey=Warning'>2 Warnings (0 new)</A></TD>
+<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
<TD>Balanced</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
<TD>
-<A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU.unroutes'>All Signals Completely Routed</A></TD>
+&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
@@ -43,11 +42,11 @@ No Errors</TD>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
<TD>
-<A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU_envsettings.html'>
+<A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\ALU_envsettings.html'>
System Settings</A>
</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
-<TD>0 &nbsp;<A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU.twx?&DataKey=XmlTimingReport'>(Timing Report)</A></TD>
+<TD>&nbsp;&nbsp;</TD>
</TR>
</TABLE>
@@ -107,7 +106,7 @@ System Settings</A>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of occupied Slices</TD>
-<TD ALIGN=RIGHT>5</TD>
+<TD ALIGN=RIGHT>7</TD>
<TD ALIGN=RIGHT>2,278</TD>
<TD ALIGN=RIGHT>1%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
@@ -148,7 +147,7 @@ System Settings</A>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
-<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of bonded <A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU_map.xrpt?&DataKey=IOBProperties'>IOBs</A></TD>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of bonded <A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\ALU_map.xrpt?&DataKey=IOBProperties'>IOBs</A></TD>
<TD ALIGN=RIGHT>18</TD>
<TD ALIGN=RIGHT>232</TD>
<TD ALIGN=RIGHT>7%</TD>
@@ -287,7 +286,7 @@ System Settings</A>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Average Fanout of Non-Clock Nets</TD>
-<TD ALIGN=RIGHT>3.32</TD>
+<TD ALIGN=RIGHT>3.26</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
@@ -296,26 +295,7 @@ System Settings</A>
-&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
-<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='4'><B>Performance Summary</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=PerformanceSummary"><B>[-]</B></a></TD></TR>
-<TR ALIGN=LEFT>
-<TD BGCOLOR='#FFFF99'><B>Final Timing Score:</B></TD>
-<TD>0 (Setup: 0, Hold: 0)</TD>
-<TD BGCOLOR='#FFFF99'><B>Pinout Data:</B></TD>
-<TD COLSPAN='2'><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU_par.xrpt?&DataKey=PinoutData'>Pinout Report</A></TD>
-</TR>
-<TR ALIGN=LEFT>
-<TD BGCOLOR='#FFFF99'><B>Routing Results:</B></TD><TD>
-<A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU.unroutes'>All Signals Completely Routed</A></TD>
-<TD BGCOLOR='#FFFF99'><B>Clock Data:</B></TD>
-<TD COLSPAN='2'><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU_par.xrpt?&DataKey=ClocksData'>Clock Report</A></TD>
-</TR>
-<TR ALIGN=LEFT>
-<TD BGCOLOR='#FFFF99'><B>Timing Constraints:</B></TD>
-<TD>&nbsp;</TD>
-<TD BGCOLOR='#FFFF99'><B>&nbsp;</B></TD>
-<TD COLSPAN='2'>&nbsp;</TD>
-</TABLE>
+
@@ -323,21 +303,21 @@ System Settings</A>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
-<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Wed Feb 15 18:59:45 2012</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/_xmsgs/xst.xmsgs?&DataKey=Warning'>2 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
-<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU.bld'>Translation Report</A></TD><TD>Current</TD><TD>Wed Feb 15 18:59:45 2012</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
-<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU_map.mrp'>Map Report</A></TD><TD>Current</TD><TD>Wed Feb 15 18:59:45 2012</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/_xmsgs/map.xmsgs?&DataKey=Info'>6 Infos (6 new)</A></TD></TR>
-<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU.par'>Place and Route Report</A></TD><TD>Current</TD><TD>Wed Feb 15 18:59:46 2012</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/_xmsgs/par.xmsgs?&DataKey=Info'>2 Infos (2 new)</A></TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\ALU.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Thu Feb 16 18:30:08 2012</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\_xmsgs/xst.xmsgs?&DataKey=Warning'>2 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\ALU.bld'>Translation Report</A></TD><TD>Current</TD><TD>Thu Feb 16 18:30:17 2012</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\ALU_map.mrp'>Map Report</A></TD><TD>Current</TD><TD>Thu Feb 16 18:30:30 2012</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\ALU.par'>Place and Route Report</A></TD><TD>Out of Date</TD><TD>Thu Feb 16 18:19:18 2012</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\_xmsgs/par.xmsgs?&DataKey=Info'>2 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
-<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/ALU.twr'>Post-PAR Static Timing Report</A></TD><TD>Current</TD><TD>Wed Feb 15 18:59:46 2012</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/_xmsgs/trce.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
-<TR ALIGN=LEFT><TD>Bitgen Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\ALU.twr'>Post-PAR Static Timing Report</A></TD><TD>Out of Date</TD><TD>Thu Feb 16 18:19:28 2012</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\_xmsgs/trce.xmsgs?&DataKey=Info'>3 Infos (0 new)</A></TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\ALU.bgn'>Bitgen Report</A></TD><TD>Out of Date</TD><TD>Thu Feb 16 18:19:51 2012</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\_xmsgs/bitgen.xmsgs?&DataKey=Info'>1 Info (0 new)</A></TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
-<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/usage_statistics_webtalk.html'>WebTalk Report</A></TD><TD>Current</TD><TD COLSPAN='2'>Wed Feb 15 18:59:48 2012</TD></TR>
-<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab1/webtalk.log'>WebTalk Log File</A></TD><TD>Current</TD><TD COLSPAN='2'>Wed Feb 15 18:59:48 2012</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\usage_statistics_webtalk.html'>WebTalk Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Thu Feb 16 18:19:55 2012</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab1\webtalk.log'>WebTalk Log File</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Thu Feb 16 18:20:07 2012</TD></TR>
</TABLE>
-<br><center><b>Date Generated:</b> 02/15/2012 - 19:01:09</center>
+<br><center><b>Date Generated:</b> 02/16/2012 - 18:37:40</center>
</BODY></HTML> \ No newline at end of file
diff --git a/ALU_summary.xml b/ALU_summary.xml
index fb30df9..2bacdf8 100755
--- a/ALU_summary.xml
+++ b/ALU_summary.xml
@@ -4,7 +4,7 @@
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
-<DesignSummary rev="2">
+<DesignSummary rev="23">
<CmdHistory>
</CmdHistory>
</DesignSummary>
diff --git a/ALU_usage.xml b/ALU_usage.xml
index 333ec93..2bf179f 100755
--- a/ALU_usage.xml
+++ b/ALU_usage.xml
@@ -4,242 +4,246 @@
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
-<DeviceUsageSummary rev="2">
-<DesignStatistics TimeStamp="Wed Feb 15 15:16:54 2012"><group name="NetStatistics">
-<item name="NumNets_Active" rev="2">
+<DeviceUsageSummary rev="23">
+<DesignStatistics TimeStamp="Thu Feb 16 19:53:54 2012"><group name="NetStatistics">
+<item name="NumNets_Active" rev="23">
<attrib name="value" value="37"/></item>
-<item name="NumNets_Gnd" rev="2">
+<item name="NumNets_Gnd" rev="23">
<attrib name="value" value="1"/></item>
-<item name="NumNets_Vcc" rev="2">
+<item name="NumNets_Vcc" rev="23">
<attrib name="value" value="1"/></item>
-<item name="NumNodesOfType_Active_BOUNCEIN" rev="2">
+<item name="NumNodesOfType_Active_BOUNCEIN" rev="23">
<attrib name="value" value="1"/></item>
-<item name="NumNodesOfType_Active_DOUBLE" rev="2">
-<attrib name="value" value="22"/></item>
-<item name="NumNodesOfType_Active_GENERIC" rev="2">
+<item name="NumNodesOfType_Active_DOUBLE" rev="23">
+<attrib name="value" value="24"/></item>
+<item name="NumNodesOfType_Active_GENERIC" rev="23">
<attrib name="value" value="20"/></item>
-<item name="NumNodesOfType_Active_IOBIN2OUT" rev="2">
+<item name="NumNodesOfType_Active_IOBIN2OUT" rev="23">
<attrib name="value" value="14"/></item>
-<item name="NumNodesOfType_Active_IOBOUTPUT" rev="2">
+<item name="NumNodesOfType_Active_IOBOUTPUT" rev="23">
<attrib name="value" value="14"/></item>
-<item name="NumNodesOfType_Active_LUTINPUT" rev="2">
+<item name="NumNodesOfType_Active_LUTINPUT" rev="23">
<attrib name="value" value="55"/></item>
-<item name="NumNodesOfType_Active_OUTBOUND" rev="2">
+<item name="NumNodesOfType_Active_OUTBOUND" rev="23">
<attrib name="value" value="26"/></item>
-<item name="NumNodesOfType_Active_OUTPUT" rev="2">
+<item name="NumNodesOfType_Active_OUTPUT" rev="23">
<attrib name="value" value="14"/></item>
-<item name="NumNodesOfType_Active_PADINPUT" rev="2">
+<item name="NumNodesOfType_Active_PADINPUT" rev="23">
<attrib name="value" value="8"/></item>
-<item name="NumNodesOfType_Active_PADOUTPUT" rev="2">
+<item name="NumNodesOfType_Active_PADOUTPUT" rev="23">
<attrib name="value" value="6"/></item>
-<item name="NumNodesOfType_Active_PINBOUNCE" rev="2">
-<attrib name="value" value="6"/></item>
-<item name="NumNodesOfType_Active_PINFEED" rev="2">
+<item name="NumNodesOfType_Active_PINBOUNCE" rev="23">
+<attrib name="value" value="3"/></item>
+<item name="NumNodesOfType_Active_PINFEED" rev="23">
<attrib name="value" value="63"/></item>
-<item name="NumNodesOfType_Active_QUAD" rev="2">
-<attrib name="value" value="107"/></item>
-<item name="NumNodesOfType_Active_SINGLE" rev="2">
-<attrib name="value" value="25"/></item>
-<item name="NumNodesOfType_Gnd_GENERIC" rev="2">
+<item name="NumNodesOfType_Active_QUAD" rev="23">
+<attrib name="value" value="108"/></item>
+<item name="NumNodesOfType_Active_SINGLE" rev="23">
+<attrib name="value" value="27"/></item>
+<item name="NumNodesOfType_Gnd_GENERIC" rev="23">
<attrib name="value" value="1"/></item>
-<item name="NumNodesOfType_Gnd_IOBIN2OUT" rev="2">
+<item name="NumNodesOfType_Gnd_IOBIN2OUT" rev="23">
<attrib name="value" value="1"/></item>
-<item name="NumNodesOfType_Gnd_IOBOUTPUT" rev="2">
+<item name="NumNodesOfType_Gnd_IOBOUTPUT" rev="23">
<attrib name="value" value="1"/></item>
-<item name="NumNodesOfType_Gnd_OUTBOUND" rev="2">
+<item name="NumNodesOfType_Gnd_OUTBOUND" rev="23">
<attrib name="value" value="1"/></item>
-<item name="NumNodesOfType_Gnd_OUTPUT" rev="2">
+<item name="NumNodesOfType_Gnd_OUTPUT" rev="23">
<attrib name="value" value="1"/></item>
-<item name="NumNodesOfType_Gnd_PADINPUT" rev="2">
+<item name="NumNodesOfType_Gnd_PADINPUT" rev="23">
<attrib name="value" value="1"/></item>
-<item name="NumNodesOfType_Gnd_PINFEED" rev="2">
+<item name="NumNodesOfType_Gnd_PINFEED" rev="23">
<attrib name="value" value="1"/></item>
-<item name="NumNodesOfType_Gnd_SINGLE" rev="2">
+<item name="NumNodesOfType_Gnd_SINGLE" rev="23">
<attrib name="value" value="1"/></item>
-<item name="NumNodesOfType_Vcc_GENERIC" rev="2">
+<item name="NumNodesOfType_Vcc_GENERIC" rev="23">
<attrib name="value" value="3"/></item>
-<item name="NumNodesOfType_Vcc_HVCCOUT" rev="2">
+<item name="NumNodesOfType_Vcc_HVCCOUT" rev="23">
<attrib name="value" value="2"/></item>
-<item name="NumNodesOfType_Vcc_IOBIN2OUT" rev="2">
+<item name="NumNodesOfType_Vcc_IOBIN2OUT" rev="23">
<attrib name="value" value="3"/></item>
-<item name="NumNodesOfType_Vcc_IOBOUTPUT" rev="2">
+<item name="NumNodesOfType_Vcc_IOBOUTPUT" rev="23">
<attrib name="value" value="3"/></item>
-<item name="NumNodesOfType_Vcc_PADINPUT" rev="2">
+<item name="NumNodesOfType_Vcc_PADINPUT" rev="23">
<attrib name="value" value="3"/></item>
-<item name="NumNodesOfType_Vcc_PINFEED" rev="2">
+<item name="NumNodesOfType_Vcc_PINFEED" rev="23">
<attrib name="value" value="3"/></item>
</group>
<group name="SiteStatistics">
-<item name="IOB-IOBM" rev="2">
+<item name="IOB-IOBM" rev="23">
<attrib name="value" value="9"/></item>
-<item name="IOB-IOBS" rev="2">
+<item name="IOB-IOBS" rev="23">
<attrib name="value" value="9"/></item>
-<item name="IOB-UNPLACED" rev="2">
+<item name="IOB-UNPLACED" rev="23">
<attrib name="value" value="14"/></item>
-<item name="SLICEX-SLICEM" rev="2">
-<attrib name="value" value="4"/></item>
+<item name="SLICEX-SLICEL" rev="23">
+<attrib name="value" value="2"/></item>
+<item name="SLICEX-SLICEM" rev="23">
+<attrib name="value" value="1"/></item>
</group>
<group name="MiscellaneousStatistics">
-<item name="AGG_BONDED_IO" rev="1">
+<item name="AGG_BONDED_IO" rev="22">
<attrib name="value" value="18"/></item>
-<item name="AGG_IO" rev="1">
+<item name="AGG_IO" rev="22">
<attrib name="value" value="18"/></item>
-<item name="AGG_LOCED_IO" rev="1">
+<item name="AGG_LOCED_IO" rev="22">
<attrib name="value" value="18"/></item>
-<item name="AGG_SLICE" rev="1">
-<attrib name="value" value="5"/></item>
-<item name="NUM_BONDED_IOB" rev="1">
+<item name="AGG_SLICE" rev="22">
+<attrib name="value" value="6"/></item>
+<item name="NUM_BONDED_IOB" rev="22">
<attrib name="value" value="18"/></item>
-<item name="NUM_BSLUTONLY" rev="1">
+<item name="NUM_BSLUTONLY" rev="22">
<attrib name="value" value="13"/></item>
-<item name="NUM_BSUSED" rev="1">
+<item name="NUM_BSUSED" rev="22">
<attrib name="value" value="13"/></item>
-<item name="NUM_LOCED_IOB" rev="1">
+<item name="NUM_LOCED_IOB" rev="22">
<attrib name="value" value="18"/></item>
-<item name="NUM_LOGIC_O6ONLY" rev="1">
+<item name="NUM_LOGIC_O6ONLY" rev="22">
<attrib name="value" value="13"/></item>
-<item name="NUM_SLICEX" rev="1">
-<attrib name="value" value="5"/></item>
-<item name="NUM_SLICE_CYINIT" rev="1">
+<item name="NUM_SLICEX" rev="22">
+<attrib name="value" value="6"/></item>
+<item name="NUM_SLICE_CYINIT" rev="22">
<attrib name="value" value="13"/></item>
-<item name="NUM_SLICE_UNUSEDCTRL" rev="1">
-<attrib name="value" value="5"/></item>
+<item name="NUM_SLICE_UNUSEDCTRL" rev="22">
+<attrib name="value" value="6"/></item>
</group>
</DesignStatistics>
-<DeviceUsage TimeStamp="Wed Feb 15 15:16:54 2012"><group name="SiteSummary">
-<item name="IOB" rev="2">
+<DeviceUsage TimeStamp="Thu Feb 16 19:53:54 2012"><group name="SiteSummary">
+<item name="IOB" rev="23">
<attrib name="total" value="1000000"/><attrib name="used" value="32"/></item>
-<item name="IOB_IMUX" rev="2">
+<item name="IOB_IMUX" rev="23">
<attrib name="total" value="1000000"/><attrib name="used" value="18"/></item>
-<item name="IOB_INBUF" rev="2">
+<item name="IOB_INBUF" rev="23">
<attrib name="total" value="1000000"/><attrib name="used" value="18"/></item>
-<item name="IOB_OUTBUF" rev="2">
+<item name="IOB_OUTBUF" rev="23">
<attrib name="total" value="1000000"/><attrib name="used" value="23"/></item>
-<item name="LUT6" rev="2">
+<item name="LUT6" rev="23">
<attrib name="total" value="1000000"/><attrib name="used" value="13"/></item>
-<item name="PAD" rev="2">
+<item name="PAD" rev="23">
<attrib name="total" value="1000000"/><attrib name="used" value="32"/></item>
-<item name="PULL_OR_KEEP1" rev="2">
+<item name="PULL_OR_KEEP1" rev="23">
<attrib name="total" value="1000000"/><attrib name="used" value="12"/></item>
-<item name="SLICEX" rev="2">
-<attrib name="total" value="1000000"/><attrib name="used" value="5"/></item>
+<item name="SLICEX" rev="23">
+<attrib name="total" value="1000000"/><attrib name="used" value="6"/></item>
</group>
</DeviceUsage>
-<ReportConfigData TimeStamp="Wed Feb 15 15:16:54 2012"><group name="IOB_OUTBUF">
-<item name="DRIVEATTRBOX" rev="2">
+<ReportConfigData TimeStamp="Thu Feb 16 19:53:54 2012"><group name="IOB_OUTBUF">
+<item name="DRIVEATTRBOX" rev="23">
<attrib name="12" value="23"/></item>
-<item name="SLEW" rev="2">
+<item name="SLEW" rev="23">
<attrib name="SLOW" value="23"/></item>
-<item name="SUSPEND" rev="2">
+<item name="SUSPEND" rev="23">
<attrib name="3STATE" value="12"/></item>
</group>
<group name="PULL_OR_KEEP1">
-<item name="PULLTYPE" rev="2">
+<item name="PULLTYPE" rev="23">
<attrib name="PULLUP" value="12"/></item>
</group>
</ReportConfigData>
-<ReportPinData TimeStamp="Wed Feb 15 15:16:54 2012"><group name="IOB_OUTBUF">
-<item name="IN" rev="2">
+<ReportPinData TimeStamp="Thu Feb 16 19:53:54 2012"><group name="IOB_OUTBUF">
+<item name="IN" rev="23">
<attrib name="value" value="23"/></item>
-<item name="OUT" rev="2">
+<item name="OUT" rev="23">
<attrib name="value" value="23"/></item>
-<item name="TRI" rev="2">
+<item name="TRI" rev="23">
<attrib name="value" value="11"/></item>
</group>
<group name="SLICEX">
-<item name="A" rev="2">
-<attrib name="value" value="3"/></item>
-<item name="A3" rev="2">
+<item name="A" rev="23">
<attrib name="value" value="3"/></item>
-<item name="A4" rev="2">
+<item name="A3" rev="23">
+<attrib name="value" value="2"/></item>
+<item name="A4" rev="23">
+<attrib name="value" value="2"/></item>
+<item name="A5" rev="23">
<attrib name="value" value="3"/></item>
-<item name="A5" rev="2">
+<item name="A6" rev="23">
<attrib name="value" value="3"/></item>
-<item name="A6" rev="2">
+<item name="B" rev="23">
<attrib name="value" value="3"/></item>
-<item name="B" rev="2">
-<attrib name="value" value="2"/></item>
-<item name="B1" rev="2">
+<item name="B1" rev="23">
<attrib name="value" value="2"/></item>
-<item name="B2" rev="2">
+<item name="B2" rev="23">
<attrib name="value" value="2"/></item>
-<item name="B3" rev="2">
-<attrib name="value" value="2"/></item>
-<item name="B4" rev="2">
+<item name="B3" rev="23">
+<attrib name="value" value="3"/></item>
+<item name="B4" rev="23">
+<attrib name="value" value="3"/></item>
+<item name="B5" rev="23">
+<attrib name="value" value="3"/></item>
+<item name="B6" rev="23">
+<attrib name="value" value="3"/></item>
+<item name="C" rev="23">
+<attrib name="value" value="3"/></item>
+<item name="C1" rev="23">
+<attrib name="value" value="1"/></item>
+<item name="C2" rev="23">
<attrib name="value" value="2"/></item>
-<item name="B5" rev="2">
+<item name="C3" rev="23">
<attrib name="value" value="2"/></item>
-<item name="B6" rev="2">
+<item name="C4" rev="23">
<attrib name="value" value="2"/></item>
-<item name="C" rev="2">
+<item name="C5" rev="23">
<attrib name="value" value="3"/></item>
-<item name="C2" rev="2">
-<attrib name="value" value="1"/></item>
-<item name="C3" rev="2">
+<item name="C6" rev="23">
+<attrib name="value" value="3"/></item>
+<item name="D" rev="23">
+<attrib name="value" value="4"/></item>
+<item name="D1" rev="23">
<attrib name="value" value="1"/></item>
-<item name="C4" rev="2">
+<item name="D2" rev="23">
<attrib name="value" value="1"/></item>
-<item name="C5" rev="2">
+<item name="D3" rev="23">
<attrib name="value" value="3"/></item>
-<item name="C6" rev="2">
+<item name="D4" rev="23">
<attrib name="value" value="3"/></item>
-<item name="D" rev="2">
-<attrib name="value" value="5"/></item>
-<item name="D1" rev="2">
-<attrib name="value" value="2"/></item>
-<item name="D2" rev="2">
-<attrib name="value" value="2"/></item>
-<item name="D3" rev="2">
+<item name="D5" rev="23">
<attrib name="value" value="4"/></item>
-<item name="D4" rev="2">
+<item name="D6" rev="23">
<attrib name="value" value="4"/></item>
-<item name="D5" rev="2">
-<attrib name="value" value="5"/></item>
-<item name="D6" rev="2">
-<attrib name="value" value="5"/></item>
</group>
<group name="PULL_OR_KEEP1">
-<item name="PAD" rev="2">
+<item name="PAD" rev="23">
<attrib name="value" value="12"/></item>
</group>
<group name="PAD">
-<item name="PAD" rev="2">
+<item name="PAD" rev="23">
<attrib name="value" value="32"/></item>
</group>
<group name="IOB_INBUF">
-<item name="OUT" rev="2">
+<item name="OUT" rev="23">
<attrib name="value" value="18"/></item>
-<item name="PAD" rev="2">
+<item name="PAD" rev="23">
<attrib name="value" value="18"/></item>
</group>
<group name="LUT6">
-<item name="A1" rev="2">
+<item name="A1" rev="23">
<attrib name="value" value="4"/></item>
-<item name="A2" rev="2">
+<item name="A2" rev="23">
<attrib name="value" value="5"/></item>
-<item name="A3" rev="2">
+<item name="A3" rev="23">
<attrib name="value" value="10"/></item>
-<item name="A4" rev="2">
+<item name="A4" rev="23">
<attrib name="value" value="10"/></item>
-<item name="A5" rev="2">
+<item name="A5" rev="23">
<attrib name="value" value="13"/></item>
-<item name="A6" rev="2">
+<item name="A6" rev="23">
<attrib name="value" value="13"/></item>
-<item name="O6" rev="2">
+<item name="O6" rev="23">
<attrib name="value" value="13"/></item>
</group>
<group name="IOB_IMUX">
-<item name="I" rev="2">
+<item name="I" rev="23">
<attrib name="value" value="18"/></item>
-<item name="OUT" rev="2">
+<item name="OUT" rev="23">
<attrib name="value" value="18"/></item>
</group>
<group name="IOB">
-<item name="I" rev="2">
+<item name="I" rev="23">
<attrib name="value" value="6"/></item>
-<item name="O" rev="2">
+<item name="O" rev="23">
<attrib name="value" value="12"/></item>
-<item name="PAD" rev="2">
+<item name="PAD" rev="23">
<attrib name="value" value="18"/></item>
</group>
</ReportPinData>
diff --git a/ALU_xst.xrpt b/ALU_xst.xrpt
index 9b982ba..c3ab59a 100755
--- a/ALU_xst.xrpt
+++ b/ALU_xst.xrpt
@@ -5,13 +5,13 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
- <application stringID="Xst" timeStamp="Wed Feb 15 15:15:31 2012">
+ <application stringID="Xst" timeStamp="Thu Feb 16 19:52:37 2012">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
<column stringID="value"/>
<row stringID="row" value="0">
- <item stringID="variable" value="PATH"/>
+ <item stringID="variable" value="Path"/>
<item stringID="value" value="C:\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;C:\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;C:\Xilinx\13.3\ISE_DS\PlanAhead\bin;C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64;C:\Xilinx\13.3\ISE_DS\ISE\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\bin\nt64;C:\Xilinx\13.3\ISE_DS\EDK\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\13.3\ISE_DS\common\bin\nt64;C:\Xilinx\13.3\ISE_DS\common\lib\nt64;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\Program Files\MATLAB\R2011a\runtime\win64;C:\Program Files\MATLAB\R2011a\bin;C:\VXIPNP\WinNT\Bin;C:\Program Files (x86)\Altium Designer Summer 09\System;C:\Program Files (x86)\QuickTime\QTSystem\;C:\Program Files\NetBeans 7.0.1\java\ant\bin;C:\Program Files\Java\jdk1.6.0_27\bin;C:\Program Files\TortoiseSVN\bin;C:\Program Files (x86)\Rational\Rose RealTime\bin\win32;C:\Program Files (x86)\Rational\common;C:\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;C:\Cadence\SPB_16.5\tools\Capture;C:\Cadence\SPB_16.5\tools\PSpice\Library;C:\Cadence\SPB_16.5\tools\PSpice;C:\Cadence\SPB_16.5\tools\specctra\bin;C:\Cadence\SPB_16.5\tools\fet\bin;C:\Cadence\SPB_16.5\tools\libutil\bin;C:\Cadence\SPB_16.5\tools\bin;C:\Cadence\SPB_16.5\tools\pcb\bin"/>
</row>
<row stringID="row" value="1">
@@ -43,7 +43,7 @@
<item stringID="User_EnvOsname" value="Microsoft Windows 7 , 64-bit"/>
<item stringID="User_EnvOsrelease" value="Service Pack 1 (build 7601)"/>
</item>
- <item stringID="User_EnvHost" value="ECE-PHO115-09"/>
+ <item stringID="User_EnvHost" value="ECE-PHO115-08"/>
<table stringID="User_EnvCpu">
<column stringID="arch"/>
<column stringID="speed"/>
@@ -112,10 +112,6 @@
<item dataType="int" stringID="XST_NUM_BUF" value="1"/>
<item dataType="int" stringID="XST_NUM_GND" value="1"/>
<item dataType="int" stringID="XST_NUM_INV" value="1"/>
- <item dataType="int" stringID="XST_NUM_NAND2" value="1"/>
- <item dataType="int" stringID="XST_NUM_NAND3" value="1"/>
- <item dataType="int" stringID="XST_NUM_NAND4" value="1"/>
- <item dataType="int" stringID="XST_NUM_NOR3" value="1"/>
<item dataType="int" stringID="XST_NUM_OR2" value="1"/>
<item dataType="int" stringID="XST_NUM_OR3" value="1"/>
<item dataType="int" stringID="XST_NUM_OR4" value="1"/>
@@ -147,15 +143,15 @@
<item stringID="XST_TOP_LEVEL_OUTPUT_FILE_NAME" value="ALU.ngc"/>
</section>
<section stringID="XST_PRIMITIVE_AND_BLACK_BOX_USAGE">
- <item dataType="int" stringID="XST_BELS" value="125">
- <item dataType="int" stringID="XST_AND2" value="22"/>
+ <item dataType="int" stringID="XST_BELS" value="138">
+ <item dataType="int" stringID="XST_AND2" value="28"/>
<item dataType="int" stringID="XST_AND3" value="21"/>
- <item dataType="int" stringID="XST_AND4" value="2"/>
+ <item dataType="int" stringID="XST_AND4" value="3"/>
<item dataType="int" stringID="XST_BUF" value="4"/>
<item dataType="int" stringID="XST_GND" value="1"/>
- <item dataType="int" stringID="XST_INV" value="45"/>
+ <item dataType="int" stringID="XST_INV" value="53"/>
<item dataType="int" stringID="XST_LUT6" value="4"/>
- <item dataType="int" stringID="XST_OR2" value="7"/>
+ <item dataType="int" stringID="XST_OR2" value="5"/>
<item dataType="int" stringID="XST_VCC" value="1"/>
<item dataType="int" stringID="XST_XOR2" value="1"/>
</item>
@@ -167,12 +163,12 @@
</section>
<section stringID="XST_DEVICE_UTILIZATION_SUMMARY">
<item stringID="XST_SELECTED_DEVICE" value="6slx16csg324-3"/>
- <item AVAILABLE="9112" dataType="int" label="Number of Slice LUTs" stringID="XST_NUMBER_OF_SLICE_LUTS" value="49"/>
- <item AVAILABLE="9112" dataType="int" label="Number used as Logic" stringID="XST_NUMBER_USED_AS_LOGIC" value="49"/>
- <item dataType="int" label="Number of LUT Flip Flop pairs used" stringID="XST_NUMBER_OF_LUT_FLIP_FLOP_PAIRS_USED" value="49"/>
- <item AVAILABLE="49" dataType="int" label="Number with an unused Flip Flop" stringID="XST_NUMBER_WITH_AN_UNUSED_FLIP_FLOP" value="49"/>
- <item AVAILABLE="49" dataType="int" label="Number with an unused LUT" stringID="XST_NUMBER_WITH_AN_UNUSED_LUT" value="0"/>
- <item AVAILABLE="49" dataType="int" label="Number of fully used LUT-FF pairs" stringID="XST_NUMBER_OF_FULLY_USED_LUTFF_PAIRS" value="0"/>
+ <item AVAILABLE="9112" dataType="int" label="Number of Slice LUTs" stringID="XST_NUMBER_OF_SLICE_LUTS" value="57"/>
+ <item AVAILABLE="9112" dataType="int" label="Number used as Logic" stringID="XST_NUMBER_USED_AS_LOGIC" value="57"/>
+ <item dataType="int" label="Number of LUT Flip Flop pairs used" stringID="XST_NUMBER_OF_LUT_FLIP_FLOP_PAIRS_USED" value="57"/>
+ <item AVAILABLE="57" dataType="int" label="Number with an unused Flip Flop" stringID="XST_NUMBER_WITH_AN_UNUSED_FLIP_FLOP" value="57"/>
+ <item AVAILABLE="57" dataType="int" label="Number with an unused LUT" stringID="XST_NUMBER_WITH_AN_UNUSED_LUT" value="0"/>
+ <item AVAILABLE="57" dataType="int" label="Number of fully used LUT-FF pairs" stringID="XST_NUMBER_OF_FULLY_USED_LUTFF_PAIRS" value="0"/>
<item dataType="int" label="Number of unique control sets" stringID="XST_NUMBER_OF_UNIQUE_CONTROL_SETS" value="0"/>
<item dataType="int" label="Number of IOs" stringID="XST_NUMBER_OF_IOS" value="18"/>
<item AVAILABLE="232" dataType="int" label="Number of bonded IOBs" stringID="XST_NUMBER_OF_BONDED_IOBS" value="18"/>
diff --git a/Divide.cmd_log b/Divide.cmd_log
index c6e0126..b7540e1 100755
--- a/Divide.cmd_log
+++ b/Divide.cmd_log
@@ -1,2 +1,11 @@
sch2sym -intstyle ise -family spartan6 -refsym Divide {X:/My Documents/ec311/lab1/Divide.sch} {X:/My Documents/ec311/lab1/Divide.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Divide /home/michael/Documents/School/EC311/lab1/Divide.sch /home/michael/Documents/School/EC311/lab1/Divide.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide {X:/My Documents/ec311/ec311-lab1/Divide.sch} {X:/My Documents/ec311/ec311-lab1/Divide.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide {X:/My Documents/ec311/ec311-lab1/Divide.sch} {X:/My Documents/ec311/ec311-lab1/Divide.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide {X:/My Documents/ec311/ec311-lab1/Divide.sch} {X:/My Documents/ec311/ec311-lab1/Divide.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide {X:/My Documents/ec311/ec311-lab1/Divide.sch} {X:/My Documents/ec311/ec311-lab1/Divide.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide {X:/My Documents/ec311/ec311-lab1/Divide.sch} {X:/My Documents/ec311/ec311-lab1/Divide.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide {X:/My Documents/ec311/ec311-lab1/Divide.sch} {X:/My Documents/ec311/ec311-lab1/Divide.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide {X:/My Documents/ec311/ec311-lab1/Divide.sch} {X:/My Documents/ec311/ec311-lab1/Divide.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide {X:/My Documents/ec311/ec311-lab1/Divide.sch} {X:/My Documents/ec311/ec311-lab1/Divide.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide {X:/My Documents/ec311/ec311-lab1/Divide.sch} {X:/My Documents/ec311/ec311-lab1/Divide.sym}
diff --git a/Divide.jhd b/Divide.jhd
index eba0746..0b08bb7 100755
--- a/Divide.jhd
+++ b/Divide.jhd
@@ -1,9 +1,9 @@
-MODULE Divide
- SUBMODULE Divide_1
- INSTANCE XLXI_8
- SUBMODULE Divide_2
- INSTANCE XLXI_9
- SUBMODULE Divide_3
- INSTANCE XLXI_10
- SUBMODULE Divide_0
- INSTANCE XLXI_12
+MODULE Divide
+ SUBMODULE Divide_1
+ INSTANCE XLXI_8
+ SUBMODULE Divide_2
+ INSTANCE XLXI_9
+ SUBMODULE Divide_3
+ INSTANCE XLXI_10
+ SUBMODULE Divide_0
+ INSTANCE XLXI_12
diff --git a/Divide.sch b/Divide.sch
index 01ec9d9..1104a30 100755
--- a/Divide.sch
+++ b/Divide.sch
@@ -1,171 +1,170 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="out0" />
- <signal name="out1" />
- <signal name="out2" />
- <signal name="b3" />
- <signal name="b0" />
- <signal name="b1" />
- <signal name="b2" />
- <signal name="out3" />
- <port polarity="Output" name="out0" />
- <port polarity="Output" name="out1" />
- <port polarity="Output" name="out2" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b0" />
- <port polarity="Input" name="b1" />
- <port polarity="Input" name="b2" />
- <port polarity="Output" name="out3" />
- <blockdef name="Divide_1">
- <timestamp>2012-2-16T0:25:5</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <blockdef name="Divide_2">
- <timestamp>2012-2-16T0:25:9</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <blockdef name="Divide_3">
- <timestamp>2012-2-16T0:25:12</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <blockdef name="Divide_0">
- <timestamp>2012-2-16T0:25:16</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <block symbolname="Divide_0" name="XLXI_12">
- <blockpin signalname="b2" name="b2" />
- <blockpin signalname="b0" name="b3" />
- <blockpin signalname="b2" name="b1" />
- <blockpin signalname="b1" name="b0" />
- <blockpin signalname="out0" name="result" />
- </block>
- <block symbolname="Divide_3" name="XLXI_10">
- <blockpin signalname="b2" name="b2" />
- <blockpin signalname="b1" name="b1" />
- <blockpin signalname="b0" name="b0" />
- <blockpin signalname="b3" name="b3" />
- <blockpin signalname="out3" name="result" />
- </block>
- <block symbolname="Divide_2" name="XLXI_9">
- <blockpin signalname="b3" name="b3" />
- <blockpin signalname="b1" name="b1" />
- <blockpin signalname="b0" name="b0" />
- <blockpin signalname="b2" name="b2" />
- <blockpin signalname="out2" name="result" />
- </block>
- <block symbolname="Divide_1" name="XLXI_8">
- <blockpin signalname="b2" name="b2" />
- <blockpin signalname="b1" name="b1" />
- <blockpin signalname="b3" name="b3" />
- <blockpin signalname="b0" name="b0" />
- <blockpin signalname="out1" name="result" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <iomarker fontsize="28" x="2032" y="1008" name="out0" orien="R0" />
- <iomarker fontsize="28" x="2032" y="1424" name="out1" orien="R0" />
- <iomarker fontsize="28" x="2032" y="1744" name="out2" orien="R0" />
- <branch name="b0">
- <wire x2="1440" y1="1072" y2="1072" x1="1280" />
- <wire x2="1472" y1="1072" y2="1072" x1="1440" />
- <wire x2="1440" y1="1072" y2="1616" x1="1440" />
- <wire x2="1472" y1="1616" y2="1616" x1="1440" />
- <wire x2="1440" y1="1616" y2="1936" x1="1440" />
- <wire x2="1472" y1="1936" y2="1936" x1="1440" />
- <wire x2="1440" y1="1936" y2="2192" x1="1440" />
- <wire x2="1456" y1="2192" y2="2192" x1="1440" />
- </branch>
- <iomarker fontsize="28" x="1280" y="1008" name="b3" orien="R180" />
- <iomarker fontsize="28" x="1280" y="1072" name="b0" orien="R180" />
- <iomarker fontsize="28" x="1264" y="1200" name="b1" orien="R180" />
- <instance x="1472" y="1296" name="XLXI_12" orien="R0">
- </instance>
- <instance x="1472" y="1968" name="XLXI_9" orien="R0">
- </instance>
- <instance x="1472" y="1648" name="XLXI_8" orien="R0">
- </instance>
- <branch name="b1">
- <wire x2="1424" y1="1168" y2="1168" x1="1264" />
- <wire x2="1424" y1="1168" y2="1488" x1="1424" />
- <wire x2="1472" y1="1488" y2="1488" x1="1424" />
- <wire x2="1424" y1="1488" y2="1744" x1="1424" />
- <wire x2="1472" y1="1744" y2="1744" x1="1424" />
- <wire x2="1424" y1="1744" y2="2128" x1="1424" />
- <wire x2="1456" y1="2128" y2="2128" x1="1424" />
- <wire x2="1264" y1="1168" y2="1200" x1="1264" />
- <wire x2="1472" y1="1136" y2="1136" x1="1424" />
- <wire x2="1424" y1="1136" y2="1168" x1="1424" />
- </branch>
- <iomarker fontsize="28" x="2096" y="2080" name="out3" orien="R0" />
- <instance x="1456" y="2288" name="XLXI_10" orien="R0">
- </instance>
- <branch name="b3">
- <wire x2="1456" y1="1008" y2="1008" x1="1280" />
- <wire x2="1456" y1="1008" y2="1552" x1="1456" />
- <wire x2="1472" y1="1552" y2="1552" x1="1456" />
- <wire x2="1456" y1="1552" y2="1808" x1="1456" />
- <wire x2="1472" y1="1808" y2="1808" x1="1456" />
- <wire x2="1392" y1="1808" y2="2256" x1="1392" />
- <wire x2="1456" y1="2256" y2="2256" x1="1392" />
- <wire x2="1456" y1="1808" y2="1808" x1="1392" />
- </branch>
- <branch name="b2">
- <wire x2="1408" y1="1312" y2="1312" x1="1264" />
- <wire x2="1408" y1="1312" y2="1424" x1="1408" />
- <wire x2="1472" y1="1424" y2="1424" x1="1408" />
- <wire x2="1408" y1="1424" y2="1872" x1="1408" />
- <wire x2="1472" y1="1872" y2="1872" x1="1408" />
- <wire x2="1408" y1="1872" y2="2064" x1="1408" />
- <wire x2="1456" y1="2064" y2="2064" x1="1408" />
- <wire x2="1472" y1="1200" y2="1200" x1="1408" />
- <wire x2="1408" y1="1200" y2="1264" x1="1408" />
- <wire x2="1408" y1="1264" y2="1312" x1="1408" />
- <wire x2="1472" y1="1264" y2="1264" x1="1408" />
- </branch>
- <iomarker fontsize="28" x="1264" y="1312" name="b2" orien="R180" />
- <branch name="out0">
- <wire x2="2000" y1="1072" y2="1072" x1="1856" />
- <wire x2="2032" y1="1008" y2="1008" x1="2000" />
- <wire x2="2000" y1="1008" y2="1072" x1="2000" />
- </branch>
- <branch name="out1">
- <wire x2="2000" y1="1424" y2="1424" x1="1856" />
- <wire x2="2032" y1="1424" y2="1424" x1="2000" />
- </branch>
- <branch name="out2">
- <wire x2="2000" y1="1744" y2="1744" x1="1856" />
- <wire x2="2032" y1="1744" y2="1744" x1="2000" />
- </branch>
- <branch name="out3">
- <wire x2="2016" y1="2064" y2="2064" x1="1840" />
- <wire x2="2016" y1="2064" y2="2080" x1="2016" />
- <wire x2="2096" y1="2080" y2="2080" x1="2016" />
- </branch>
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="out0" />
+ <signal name="out1" />
+ <signal name="out2" />
+ <signal name="b3" />
+ <signal name="b0" />
+ <signal name="b1" />
+ <signal name="b2" />
+ <signal name="out3" />
+ <port polarity="Output" name="out0" />
+ <port polarity="Output" name="out1" />
+ <port polarity="Output" name="out2" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Input" name="b2" />
+ <port polarity="Output" name="out3" />
+ <blockdef name="Divide_1">
+ <timestamp>2012-2-16T23:13:16</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </blockdef>
+ <blockdef name="Divide_2">
+ <timestamp>2012-2-17T0:27:48</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </blockdef>
+ <blockdef name="Divide_3">
+ <timestamp>2012-2-17T0:22:15</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </blockdef>
+ <blockdef name="Divide_0">
+ <timestamp>2012-2-16T23:51:54</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </blockdef>
+ <block symbolname="Divide_0" name="XLXI_12">
+ <blockpin signalname="b2" name="b2" />
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="b0" name="b0" />
+ <blockpin signalname="out0" name="result" />
+ </block>
+ <block symbolname="Divide_3" name="XLXI_10">
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="b2" name="b2" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="b0" name="b0" />
+ <blockpin signalname="out3" name="result" />
+ </block>
+ <block symbolname="Divide_2" name="XLXI_9">
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="b0" name="b0" />
+ <blockpin signalname="b2" name="b2" />
+ <blockpin signalname="out2" name="result" />
+ </block>
+ <block symbolname="Divide_1" name="XLXI_8">
+ <blockpin signalname="b2" name="b2" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="b0" name="b0" />
+ <blockpin signalname="out1" name="result" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <iomarker fontsize="28" x="2032" y="1008" name="out0" orien="R0" />
+ <iomarker fontsize="28" x="2032" y="1424" name="out1" orien="R0" />
+ <iomarker fontsize="28" x="2032" y="1744" name="out2" orien="R0" />
+ <iomarker fontsize="28" x="1280" y="1008" name="b3" orien="R180" />
+ <iomarker fontsize="28" x="1280" y="1072" name="b0" orien="R180" />
+ <iomarker fontsize="28" x="1264" y="1200" name="b1" orien="R180" />
+ <instance x="1472" y="1296" name="XLXI_12" orien="R0">
+ </instance>
+ <instance x="1472" y="1968" name="XLXI_9" orien="R0">
+ </instance>
+ <instance x="1472" y="1648" name="XLXI_8" orien="R0">
+ </instance>
+ <iomarker fontsize="28" x="2096" y="2080" name="out3" orien="R0" />
+ <instance x="1456" y="2288" name="XLXI_10" orien="R0">
+ </instance>
+ <iomarker fontsize="28" x="1264" y="1312" name="b2" orien="R180" />
+ <branch name="out0">
+ <wire x2="2000" y1="1072" y2="1072" x1="1856" />
+ <wire x2="2032" y1="1008" y2="1008" x1="2000" />
+ <wire x2="2000" y1="1008" y2="1072" x1="2000" />
+ </branch>
+ <branch name="out1">
+ <wire x2="2032" y1="1424" y2="1424" x1="1856" />
+ </branch>
+ <branch name="out2">
+ <wire x2="2032" y1="1744" y2="1744" x1="1856" />
+ </branch>
+ <branch name="out3">
+ <wire x2="2016" y1="2064" y2="2064" x1="1840" />
+ <wire x2="2016" y1="2064" y2="2080" x1="2016" />
+ <wire x2="2096" y1="2080" y2="2080" x1="2016" />
+ </branch>
+ <branch name="b0">
+ <wire x2="1440" y1="1072" y2="1072" x1="1280" />
+ <wire x2="1440" y1="1072" y2="1136" x1="1440" />
+ <wire x2="1440" y1="1136" y2="1616" x1="1440" />
+ <wire x2="1472" y1="1616" y2="1616" x1="1440" />
+ <wire x2="1440" y1="1616" y2="1936" x1="1440" />
+ <wire x2="1472" y1="1936" y2="1936" x1="1440" />
+ <wire x2="1440" y1="1936" y2="2192" x1="1440" />
+ <wire x2="1456" y1="2192" y2="2192" x1="1440" />
+ <wire x2="1472" y1="1136" y2="1136" x1="1440" />
+ </branch>
+ <branch name="b2">
+ <wire x2="1408" y1="1312" y2="1312" x1="1264" />
+ <wire x2="1408" y1="1312" y2="1424" x1="1408" />
+ <wire x2="1472" y1="1424" y2="1424" x1="1408" />
+ <wire x2="1408" y1="1424" y2="1872" x1="1408" />
+ <wire x2="1472" y1="1872" y2="1872" x1="1408" />
+ <wire x2="1408" y1="1872" y2="2064" x1="1408" />
+ <wire x2="1456" y1="2064" y2="2064" x1="1408" />
+ <wire x2="1408" y1="1264" y2="1312" x1="1408" />
+ <wire x2="1472" y1="1264" y2="1264" x1="1408" />
+ </branch>
+ <branch name="b1">
+ <wire x2="1264" y1="1168" y2="1200" x1="1264" />
+ <wire x2="1424" y1="1168" y2="1168" x1="1264" />
+ <wire x2="1424" y1="1168" y2="1200" x1="1424" />
+ <wire x2="1424" y1="1200" y2="1488" x1="1424" />
+ <wire x2="1472" y1="1488" y2="1488" x1="1424" />
+ <wire x2="1424" y1="1488" y2="1744" x1="1424" />
+ <wire x2="1472" y1="1744" y2="1744" x1="1424" />
+ <wire x2="1424" y1="1744" y2="2128" x1="1424" />
+ <wire x2="1456" y1="2128" y2="2128" x1="1424" />
+ <wire x2="1472" y1="1200" y2="1200" x1="1424" />
+ </branch>
+ <branch name="b3">
+ <wire x2="1456" y1="1008" y2="1008" x1="1280" />
+ <wire x2="1456" y1="1008" y2="1072" x1="1456" />
+ <wire x2="1472" y1="1072" y2="1072" x1="1456" />
+ <wire x2="1456" y1="1072" y2="1552" x1="1456" />
+ <wire x2="1472" y1="1552" y2="1552" x1="1456" />
+ <wire x2="1456" y1="1552" y2="1808" x1="1456" />
+ <wire x2="1472" y1="1808" y2="1808" x1="1456" />
+ <wire x2="1456" y1="1808" y2="1808" x1="1392" />
+ <wire x2="1392" y1="1808" y2="2256" x1="1392" />
+ <wire x2="1456" y1="2256" y2="2256" x1="1392" />
+ </branch>
+ </sheet>
</drawing> \ No newline at end of file
diff --git a/Divide.schlog b/Divide.schlog
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/Divide.schlog
diff --git a/Divide.sym b/Divide.sym
index 0ca17af..dfbf436 100755
--- a/Divide.sym
+++ b/Divide.sym
@@ -1,33 +1,33 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Divide">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:25:40</timestamp>
- <pin polarity="Input" x="0" y="-224" name="b3" />
- <pin polarity="Input" x="0" y="-160" name="b0" />
- <pin polarity="Input" x="0" y="-96" name="b1" />
- <pin polarity="Input" x="0" y="-32" name="b2" />
- <pin polarity="Output" x="384" y="-224" name="out0" />
- <pin polarity="Output" x="384" y="-160" name="out1" />
- <pin polarity="Output" x="384" y="-96" name="out2" />
- <pin polarity="Output" x="384" y="-32" name="out3" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b3" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b0" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b1" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b2" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin out0" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-160" type="pin out1" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-96" type="pin out2" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin out3" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- <line x2="384" y1="-160" y2="-160" x1="320" />
- <line x2="384" y1="-96" y2="-96" x1="320" />
- <line x2="384" y1="-32" y2="-32" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Divide">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-17T0:27:58</timestamp>
+ <pin polarity="Input" x="0" y="-224" name="b3" />
+ <pin polarity="Input" x="0" y="-160" name="b0" />
+ <pin polarity="Input" x="0" y="-96" name="b1" />
+ <pin polarity="Input" x="0" y="-32" name="b2" />
+ <pin polarity="Output" x="384" y="-224" name="out0" />
+ <pin polarity="Output" x="384" y="-160" name="out1" />
+ <pin polarity="Output" x="384" y="-96" name="out2" />
+ <pin polarity="Output" x="384" y="-32" name="out3" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b3" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b0" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b2" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin out0" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-160" type="pin out1" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-96" type="pin out2" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin out3" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </graph>
+</symbol>
diff --git a/Divide.vf b/Divide.vf
index c428df2..8d6a587 100755
--- a/Divide.vf
+++ b/Divide.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Divide.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:11
+// /___/ /\ Timestamp : 02/16/2012 19:28:10
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Divide.vf" -w "X:/My Documents/ec311/lab1/Divide.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Divide.vf" -w "X:/My Documents/ec311/ec311-lab1/Divide.sch"
//Design Name: Divide
//Device: spartan6
//Purpose:
@@ -82,25 +82,24 @@ module Divide_2_MUSER_Divide(b0,
input b3;
output result;
- wire XLXN_1;
- wire XLXN_2;
- wire XLXN_3;
- wire XLXN_7;
+ wire XLXN_9;
+ wire XLXN_13;
+ wire XLXN_14;
+ wire XLXN_15;
- OR3 XLXI_1 (.I0(XLXN_1),
- .I1(XLXN_7),
- .I2(XLXN_3),
- .O(result));
- AND3 XLXI_2 (.I0(XLXN_2),
- .I1(b3),
- .I2(b1),
- .O(XLXN_1));
- INV XLXI_3 (.I(b2),
- .O(XLXN_2));
- INV XLXI_4 (.I(b0),
- .O(XLXN_3));
- INV XLXI_9 (.I(b1),
- .O(XLXN_7));
+ AND2 XLXI_10 (.I0(XLXN_9),
+ .I1(b3),
+ .O(result));
+ OR3 XLXI_12 (.I0(XLXN_15),
+ .I1(XLXN_14),
+ .I2(XLXN_13),
+ .O(XLXN_9));
+ INV XLXI_13 (.I(b0),
+ .O(XLXN_13));
+ INV XLXI_15 (.I(b1),
+ .O(XLXN_14));
+ INV XLXI_16 (.I(b2),
+ .O(XLXN_15));
endmodule
`timescale 1ns / 1ps
@@ -117,14 +116,23 @@ module Divide_3_MUSER_Divide(b0,
output result;
wire XLXN_2;
+ wire XLXN_13;
+ wire XLXN_14;
+ wire XLXN_15;
AND2 XLXI_2 (.I0(XLXN_2),
.I1(b3),
.O(result));
- NOR3 XLXI_3 (.I0(b0),
- .I1(b1),
- .I2(b2),
- .O(XLXN_2));
+ OR3 XLXI_4 (.I0(XLXN_15),
+ .I1(XLXN_14),
+ .I2(XLXN_13),
+ .O(XLXN_2));
+ INV XLXI_6 (.I(b2),
+ .O(XLXN_13));
+ INV XLXI_7 (.I(b1),
+ .O(XLXN_14));
+ INV XLXI_8 (.I(b0),
+ .O(XLXN_15));
endmodule
`timescale 1ns / 1ps
@@ -140,25 +148,23 @@ module Divide_0_MUSER_Divide(b0,
input b3;
output result;
- wire XLXN_1;
wire XLXN_2;
wire XLXN_3;
wire XLXN_4;
wire XLXN_5;
wire XLXN_6;
+ wire XLXN_12;
- AND3 XLXI_1 (.I0(b3),
+ AND3 XLXI_1 (.I0(b0),
.I1(b3),
.I2(XLXN_2),
.O(XLXN_5));
AND2 XLXI_2 (.I0(b1),
- .I1(XLXN_1),
+ .I1(XLXN_12),
.O(XLXN_4));
AND2 XLXI_3 (.I0(b1),
.I1(XLXN_3),
.O(XLXN_6));
- INV XLXI_4 (.I(b3),
- .O(XLXN_1));
INV XLXI_5 (.I(b1),
.O(XLXN_2));
INV XLXI_6 (.I(b0),
@@ -167,6 +173,8 @@ module Divide_0_MUSER_Divide(b0,
.I1(XLXN_5),
.I2(XLXN_4),
.O(result));
+ INV XLXI_9 (.I(b3),
+ .O(XLXN_12));
endmodule
`timescale 1ns / 1ps
@@ -204,9 +212,9 @@ module Divide(b0,
.b2(b2),
.b3(b3),
.result(out3));
- Divide_0_MUSER_Divide XLXI_12 (.b0(b1),
- .b1(b2),
+ Divide_0_MUSER_Divide XLXI_12 (.b0(b0),
+ .b1(b1),
.b2(b2),
- .b3(b0),
+ .b3(b3),
.result(out0));
endmodule
diff --git a/Divide_0.cmd_log b/Divide_0.cmd_log
index f4446c4..8050f67 100755
--- a/Divide_0.cmd_log
+++ b/Divide_0.cmd_log
@@ -1,2 +1,9 @@
sch2sym -intstyle ise -family spartan6 -refsym Divide_0 {X:/My Documents/ec311/lab1/Divide_0.sch} {X:/My Documents/ec311/lab1/Divide_0.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Divide_0 /home/michael/Documents/School/EC311/lab1/Divide_0.sch /home/michael/Documents/School/EC311/lab1/Divide_0.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_0 {X:/My Documents/ec311/ec311-lab1/Divide_0.sch} {X:/My Documents/ec311/ec311-lab1/Divide_0.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_0 {X:/My Documents/ec311/ec311-lab1/Divide_0.sch} {X:/My Documents/ec311/ec311-lab1/Divide_0.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_0 {X:/My Documents/ec311/ec311-lab1/Divide_0.sch} {X:/My Documents/ec311/ec311-lab1/Divide_0.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_0 {X:/My Documents/ec311/ec311-lab1/Divide_0.sch} {X:/My Documents/ec311/ec311-lab1/Divide_0.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_0 {X:/My Documents/ec311/ec311-lab1/Divide_0.sch} {X:/My Documents/ec311/ec311-lab1/Divide_0.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_0 {X:/My Documents/ec311/ec311-lab1/Divide_0.sch} {X:/My Documents/ec311/ec311-lab1/Divide_0.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_0 {X:/My Documents/ec311/ec311-lab1/Divide_0.sch} {X:/My Documents/ec311/ec311-lab1/Divide_0.sym}
diff --git a/Divide_0.jhd b/Divide_0.jhd
index 3505e32..ca45971 100755
--- a/Divide_0.jhd
+++ b/Divide_0.jhd
@@ -1 +1 @@
-MODULE Divide_0
+MODULE Divide_0
diff --git a/Divide_0.sch b/Divide_0.sch
index f1040fd..1ad0c3d 100755
--- a/Divide_0.sch
+++ b/Divide_0.sch
@@ -1,170 +1,169 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="XLXN_1" />
- <signal name="XLXN_2" />
- <signal name="XLXN_3" />
- <signal name="XLXN_4" />
- <signal name="XLXN_5" />
- <signal name="XLXN_6" />
- <signal name="result" />
- <signal name="b2" />
- <signal name="b3" />
- <signal name="b1" />
- <signal name="b0" />
- <port polarity="Output" name="result" />
- <port polarity="Input" name="b2" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b1" />
- <port polarity="Input" name="b0" />
- <blockdef name="and3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="192" y1="-128" y2="-128" x1="256" />
- <line x2="144" y1="-176" y2="-176" x1="64" />
- <line x2="64" y1="-80" y2="-80" x1="144" />
- <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
- <line x2="64" y1="-64" y2="-192" x1="64" />
- </blockdef>
- <blockdef name="and2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="192" y1="-96" y2="-96" x1="256" />
- <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
- <line x2="64" y1="-48" y2="-48" x1="144" />
- <line x2="144" y1="-144" y2="-144" x1="64" />
- <line x2="64" y1="-48" y2="-144" x1="64" />
- </blockdef>
- <blockdef name="inv">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-32" y2="-32" x1="0" />
- <line x2="160" y1="-32" y2="-32" x1="224" />
- <line x2="128" y1="-64" y2="-32" x1="64" />
- <line x2="64" y1="-32" y2="0" x1="128" />
- <line x2="64" y1="0" y2="-64" x1="64" />
- <circle r="16" cx="144" cy="-32" />
- </blockdef>
- <blockdef name="or3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="48" y1="-64" y2="-64" x1="0" />
- <line x2="72" y1="-128" y2="-128" x1="0" />
- <line x2="48" y1="-192" y2="-192" x1="0" />
- <line x2="192" y1="-128" y2="-128" x1="256" />
- <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
- <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
- <line x2="48" y1="-64" y2="-80" x1="48" />
- <line x2="48" y1="-192" y2="-176" x1="48" />
- <line x2="48" y1="-80" y2="-80" x1="112" />
- <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
- <line x2="48" y1="-176" y2="-176" x1="112" />
- </blockdef>
- <block symbolname="and3" name="XLXI_1">
- <blockpin signalname="b0" name="I0" />
- <blockpin signalname="b3" name="I1" />
- <blockpin signalname="XLXN_2" name="I2" />
- <blockpin signalname="XLXN_5" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_2">
- <blockpin signalname="b1" name="I0" />
- <blockpin signalname="XLXN_1" name="I1" />
- <blockpin signalname="XLXN_4" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_3">
- <blockpin signalname="b1" name="I0" />
- <blockpin signalname="XLXN_3" name="I1" />
- <blockpin signalname="XLXN_6" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_5">
- <blockpin signalname="b1" name="I" />
- <blockpin signalname="XLXN_2" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_6">
- <blockpin signalname="b0" name="I" />
- <blockpin signalname="XLXN_3" name="O" />
- </block>
- <block symbolname="or3" name="XLXI_7">
- <blockpin signalname="XLXN_6" name="I0" />
- <blockpin signalname="XLXN_5" name="I1" />
- <blockpin signalname="XLXN_4" name="I2" />
- <blockpin signalname="result" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_4">
- <blockpin signalname="b3" name="I" />
- <blockpin signalname="XLXN_1" name="O" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <instance x="1936" y="1488" name="XLXI_1" orien="R0" />
- <instance x="1952" y="1152" name="XLXI_2" orien="R0" />
- <instance x="1920" y="1808" name="XLXI_3" orien="R0" />
- <branch name="XLXN_1">
- <wire x2="1952" y1="1024" y2="1024" x1="1920" />
- </branch>
- <branch name="XLXN_2">
- <wire x2="1936" y1="1296" y2="1296" x1="1904" />
- </branch>
- <instance x="1680" y="1328" name="XLXI_5" orien="R0" />
- <branch name="XLXN_3">
- <wire x2="1920" y1="1680" y2="1680" x1="1888" />
- </branch>
- <instance x="1664" y="1712" name="XLXI_6" orien="R0" />
- <instance x="2304" y="1488" name="XLXI_7" orien="R0" />
- <branch name="XLXN_4">
- <wire x2="2304" y1="1056" y2="1056" x1="2208" />
- <wire x2="2304" y1="1056" y2="1296" x1="2304" />
- </branch>
- <branch name="XLXN_5">
- <wire x2="2304" y1="1360" y2="1360" x1="2192" />
- </branch>
- <branch name="XLXN_6">
- <wire x2="2304" y1="1712" y2="1712" x1="2176" />
- <wire x2="2304" y1="1424" y2="1712" x1="2304" />
- </branch>
- <branch name="result">
- <wire x2="2592" y1="1360" y2="1360" x1="2560" />
- </branch>
- <iomarker fontsize="28" x="2592" y="1360" name="result" orien="R0" />
- <branch name="b2">
- <wire x2="2192" y1="1952" y2="1952" x1="1664" />
- </branch>
- <iomarker fontsize="28" x="1664" y="1952" name="b2" orien="R180" />
- <instance x="1696" y="1056" name="XLXI_4" orien="R0" />
- <branch name="b3">
- <wire x2="1696" y1="1024" y2="1024" x1="1664" />
- </branch>
- <iomarker fontsize="28" x="1664" y="1024" name="b3" orien="R180" />
- <branch name="b1">
- <wire x2="1952" y1="1088" y2="1088" x1="1920" />
- </branch>
- <iomarker fontsize="28" x="1920" y="1088" name="b1" orien="R180" />
- <branch name="b1">
- <wire x2="1680" y1="1296" y2="1296" x1="1648" />
- </branch>
- <iomarker fontsize="28" x="1648" y="1296" name="b1" orien="R180" />
- <branch name="b3">
- <wire x2="1936" y1="1360" y2="1360" x1="1904" />
- </branch>
- <iomarker fontsize="28" x="1904" y="1360" name="b3" orien="R180" />
- <branch name="b0">
- <wire x2="1936" y1="1424" y2="1424" x1="1904" />
- </branch>
- <iomarker fontsize="28" x="1904" y="1424" name="b0" orien="R180" />
- <branch name="b0">
- <wire x2="1648" y1="1680" y2="1680" x1="1632" />
- <wire x2="1664" y1="1680" y2="1680" x1="1648" />
- </branch>
- <branch name="b1">
- <wire x2="1920" y1="1744" y2="1744" x1="1888" />
- </branch>
- <iomarker fontsize="28" x="1888" y="1744" name="b1" orien="R180" />
- <iomarker fontsize="28" x="1632" y="1680" name="b0" orien="R180" />
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="XLXN_2" />
+ <signal name="XLXN_3" />
+ <signal name="XLXN_4" />
+ <signal name="XLXN_5" />
+ <signal name="XLXN_6" />
+ <signal name="result" />
+ <signal name="b2" />
+ <signal name="b3" />
+ <signal name="b1" />
+ <signal name="b0" />
+ <signal name="XLXN_12" />
+ <port polarity="Output" name="result" />
+ <port polarity="Input" name="b2" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Input" name="b0" />
+ <blockdef name="and3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <line x2="144" y1="-176" y2="-176" x1="64" />
+ <line x2="64" y1="-80" y2="-80" x1="144" />
+ <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
+ <line x2="64" y1="-64" y2="-192" x1="64" />
+ </blockdef>
+ <blockdef name="and2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
+ <line x2="64" y1="-48" y2="-48" x1="144" />
+ <line x2="144" y1="-144" y2="-144" x1="64" />
+ <line x2="64" y1="-48" y2="-144" x1="64" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <blockdef name="or3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="72" y1="-128" y2="-128" x1="0" />
+ <line x2="48" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
+ <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
+ <line x2="48" y1="-64" y2="-80" x1="48" />
+ <line x2="48" y1="-192" y2="-176" x1="48" />
+ <line x2="48" y1="-80" y2="-80" x1="112" />
+ <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
+ <line x2="48" y1="-176" y2="-176" x1="112" />
+ </blockdef>
+ <block symbolname="and3" name="XLXI_1">
+ <blockpin signalname="b0" name="I0" />
+ <blockpin signalname="b3" name="I1" />
+ <blockpin signalname="XLXN_2" name="I2" />
+ <blockpin signalname="XLXN_5" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_2">
+ <blockpin signalname="b1" name="I0" />
+ <blockpin signalname="XLXN_12" name="I1" />
+ <blockpin signalname="XLXN_4" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_3">
+ <blockpin signalname="b1" name="I0" />
+ <blockpin signalname="XLXN_3" name="I1" />
+ <blockpin signalname="XLXN_6" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_5">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_2" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_6">
+ <blockpin signalname="b0" name="I" />
+ <blockpin signalname="XLXN_3" name="O" />
+ </block>
+ <block symbolname="or3" name="XLXI_7">
+ <blockpin signalname="XLXN_6" name="I0" />
+ <blockpin signalname="XLXN_5" name="I1" />
+ <blockpin signalname="XLXN_4" name="I2" />
+ <blockpin signalname="result" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_9">
+ <blockpin signalname="b3" name="I" />
+ <blockpin signalname="XLXN_12" name="O" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <instance x="1936" y="1488" name="XLXI_1" orien="R0" />
+ <instance x="1952" y="1152" name="XLXI_2" orien="R0" />
+ <instance x="1920" y="1808" name="XLXI_3" orien="R0" />
+ <branch name="XLXN_2">
+ <wire x2="1936" y1="1296" y2="1296" x1="1856" />
+ </branch>
+ <branch name="XLXN_3">
+ <wire x2="1920" y1="1680" y2="1680" x1="1888" />
+ </branch>
+ <instance x="1664" y="1712" name="XLXI_6" orien="R0" />
+ <instance x="2304" y="1488" name="XLXI_7" orien="R0" />
+ <branch name="XLXN_4">
+ <wire x2="2304" y1="1056" y2="1056" x1="2208" />
+ <wire x2="2304" y1="1056" y2="1296" x1="2304" />
+ </branch>
+ <branch name="XLXN_5">
+ <wire x2="2304" y1="1360" y2="1360" x1="2192" />
+ </branch>
+ <branch name="XLXN_6">
+ <wire x2="2304" y1="1712" y2="1712" x1="2176" />
+ <wire x2="2304" y1="1424" y2="1712" x1="2304" />
+ </branch>
+ <branch name="result">
+ <wire x2="2592" y1="1360" y2="1360" x1="2560" />
+ </branch>
+ <iomarker fontsize="28" x="2592" y="1360" name="result" orien="R0" />
+ <branch name="b2">
+ <wire x2="2400" y1="1952" y2="1952" x1="1664" />
+ </branch>
+ <iomarker fontsize="28" x="1664" y="1952" name="b2" orien="R180" />
+ <branch name="b1">
+ <wire x2="1952" y1="1088" y2="1088" x1="1920" />
+ </branch>
+ <iomarker fontsize="28" x="1920" y="1088" name="b1" orien="R180" />
+ <branch name="b3">
+ <wire x2="1936" y1="1360" y2="1360" x1="1904" />
+ </branch>
+ <iomarker fontsize="28" x="1904" y="1360" name="b3" orien="R180" />
+ <branch name="b0">
+ <wire x2="1936" y1="1424" y2="1424" x1="1904" />
+ </branch>
+ <iomarker fontsize="28" x="1904" y="1424" name="b0" orien="R180" />
+ <branch name="b0">
+ <wire x2="1664" y1="1680" y2="1680" x1="1632" />
+ </branch>
+ <branch name="b1">
+ <wire x2="1920" y1="1744" y2="1744" x1="1888" />
+ </branch>
+ <iomarker fontsize="28" x="1888" y="1744" name="b1" orien="R180" />
+ <iomarker fontsize="28" x="1632" y="1680" name="b0" orien="R180" />
+ <instance x="1632" y="1328" name="XLXI_5" orien="R0" />
+ <branch name="b1">
+ <wire x2="1632" y1="1296" y2="1296" x1="1568" />
+ </branch>
+ <iomarker fontsize="28" x="1568" y="1296" name="b1" orien="R180" />
+ <branch name="XLXN_12">
+ <wire x2="1952" y1="1024" y2="1024" x1="1920" />
+ </branch>
+ <instance x="1696" y="1056" name="XLXI_9" orien="R0" />
+ <branch name="b3">
+ <wire x2="1696" y1="1024" y2="1024" x1="1664" />
+ </branch>
+ <iomarker fontsize="28" x="1664" y="1024" name="b3" orien="R180" />
+ </sheet>
</drawing> \ No newline at end of file
diff --git a/Divide_0.sym b/Divide_0.sym
index 42aebcb..3703413 100755
--- a/Divide_0.sym
+++ b/Divide_0.sym
@@ -1,24 +1,24 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Divide_0">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:25:16</timestamp>
- <pin polarity="Input" x="0" y="-32" name="b2" />
- <pin polarity="Input" x="0" y="-224" name="b3" />
- <pin polarity="Input" x="0" y="-96" name="b1" />
- <pin polarity="Input" x="0" y="-160" name="b0" />
- <pin polarity="Output" x="384" y="-224" name="result" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b2" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b3" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b1" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b0" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Divide_0">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-16T23:51:54</timestamp>
+ <pin polarity="Input" x="0" y="-32" name="b2" />
+ <pin polarity="Input" x="0" y="-224" name="b3" />
+ <pin polarity="Input" x="0" y="-96" name="b1" />
+ <pin polarity="Input" x="0" y="-160" name="b0" />
+ <pin polarity="Output" x="384" y="-224" name="result" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b2" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b3" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b0" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </graph>
+</symbol>
diff --git a/Divide_0.vf b/Divide_0.vf
index 1ffdc18..72398c8 100755
--- a/Divide_0.vf
+++ b/Divide_0.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Divide_0.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:06
+// /___/ /\ Timestamp : 02/16/2012 18:52:20
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Divide_0.vf" -w "X:/My Documents/ec311/lab1/Divide_0.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Divide_0.vf" -w "X:/My Documents/ec311/ec311-lab1/Divide_0.sch"
//Design Name: Divide_0
//Device: spartan6
//Purpose:
@@ -32,25 +32,23 @@ module Divide_0(b0,
input b3;
output result;
- wire XLXN_1;
wire XLXN_2;
wire XLXN_3;
wire XLXN_4;
wire XLXN_5;
wire XLXN_6;
+ wire XLXN_12;
- AND3 XLXI_1 (.I0(b3),
+ AND3 XLXI_1 (.I0(b0),
.I1(b3),
.I2(XLXN_2),
.O(XLXN_5));
AND2 XLXI_2 (.I0(b1),
- .I1(XLXN_1),
+ .I1(XLXN_12),
.O(XLXN_4));
AND2 XLXI_3 (.I0(b1),
.I1(XLXN_3),
.O(XLXN_6));
- INV XLXI_4 (.I(b3),
- .O(XLXN_1));
INV XLXI_5 (.I(b1),
.O(XLXN_2));
INV XLXI_6 (.I(b0),
@@ -59,4 +57,6 @@ module Divide_0(b0,
.I1(XLXN_5),
.I2(XLXN_4),
.O(result));
+ INV XLXI_9 (.I(b3),
+ .O(XLXN_12));
endmodule
diff --git a/Divide_1.cmd_log b/Divide_1.cmd_log
index c56fcf2..c5e6a18 100755
--- a/Divide_1.cmd_log
+++ b/Divide_1.cmd_log
@@ -1,3 +1,4 @@
sch2sym -intstyle ise -family spartan6 -refsym Divide_1 {X:/My Documents/ec311/lab1/Divide_1.sch} {X:/My Documents/ec311/lab1/Divide_1.sym}
sch2sym -intstyle ise -family spartan6 -refsym Divide_1 /home/michael/Documents/School/EC311/lab1/Divide_1.sch /home/michael/Documents/School/EC311/lab1/Divide_1.sym
sch2sym -intstyle ise -family spartan6 -w -refsym Divide_1 /home/michael/Documents/School/EC311/lab1/Divide_1.sch /home/michael/Documents/School/EC311/lab1/Divide_1.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_1 {X:/My Documents/ec311/ec311-lab1/Divide_1.sch} {X:/My Documents/ec311/ec311-lab1/Divide_1.sym}
diff --git a/Divide_1.jhd b/Divide_1.jhd
index f1151f9..de505b8 100755
--- a/Divide_1.jhd
+++ b/Divide_1.jhd
@@ -1 +1 @@
-MODULE Divide_1
+MODULE Divide_1
diff --git a/Divide_1.sch b/Divide_1.sch
index fe2cc4d..4e46655 100755
--- a/Divide_1.sch
+++ b/Divide_1.sch
@@ -1,209 +1,209 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="XLXN_1" />
- <signal name="XLXN_2" />
- <signal name="XLXN_4" />
- <signal name="XLXN_5" />
- <signal name="b2" />
- <signal name="XLXN_8" />
- <signal name="b1" />
- <signal name="XLXN_13" />
- <signal name="XLXN_16" />
- <signal name="XLXN_17" />
- <signal name="b3" />
- <signal name="b0" />
- <signal name="result" />
- <port polarity="Input" name="b2" />
- <port polarity="Input" name="b1" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b0" />
- <port polarity="Output" name="result" />
- <blockdef name="and4">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-112" y2="-112" x1="144" />
- <arc ex="144" ey="-208" sx="144" sy="-112" r="48" cx="144" cy="-160" />
- <line x2="144" y1="-208" y2="-208" x1="64" />
- <line x2="64" y1="-64" y2="-256" x1="64" />
- <line x2="192" y1="-160" y2="-160" x1="256" />
- <line x2="64" y1="-256" y2="-256" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-64" y2="-64" x1="0" />
- </blockdef>
- <blockdef name="or3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="48" y1="-64" y2="-64" x1="0" />
- <line x2="72" y1="-128" y2="-128" x1="0" />
- <line x2="48" y1="-192" y2="-192" x1="0" />
- <line x2="192" y1="-128" y2="-128" x1="256" />
- <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
- <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
- <line x2="48" y1="-64" y2="-80" x1="48" />
- <line x2="48" y1="-192" y2="-176" x1="48" />
- <line x2="48" y1="-80" y2="-80" x1="112" />
- <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
- <line x2="48" y1="-176" y2="-176" x1="112" />
- </blockdef>
- <blockdef name="and2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="192" y1="-96" y2="-96" x1="256" />
- <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
- <line x2="64" y1="-48" y2="-48" x1="144" />
- <line x2="144" y1="-144" y2="-144" x1="64" />
- <line x2="64" y1="-48" y2="-144" x1="64" />
- </blockdef>
- <blockdef name="or2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="192" y1="-96" y2="-96" x1="256" />
- <arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
- <arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
- <line x2="48" y1="-144" y2="-144" x1="112" />
- <arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
- <line x2="48" y1="-48" y2="-48" x1="112" />
- </blockdef>
- <blockdef name="inv">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-32" y2="-32" x1="0" />
- <line x2="160" y1="-32" y2="-32" x1="224" />
- <line x2="128" y1="-64" y2="-32" x1="64" />
- <line x2="64" y1="-32" y2="0" x1="128" />
- <line x2="64" y1="0" y2="-64" x1="64" />
- <circle r="16" cx="144" cy="-32" />
- </blockdef>
- <block symbolname="and4" name="XLXI_1">
- <blockpin signalname="b3" name="I0" />
- <blockpin signalname="b1" name="I1" />
- <blockpin signalname="XLXN_8" name="I2" />
- <blockpin signalname="b0" name="I3" />
- <blockpin signalname="XLXN_5" name="O" />
- </block>
- <block symbolname="or3" name="XLXI_2">
- <blockpin signalname="XLXN_16" name="I0" />
- <blockpin signalname="XLXN_1" name="I1" />
- <blockpin signalname="XLXN_13" name="I2" />
- <blockpin signalname="XLXN_2" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_3">
- <blockpin signalname="XLXN_17" name="I0" />
- <blockpin signalname="b1" name="I1" />
- <blockpin signalname="XLXN_1" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_4">
- <blockpin signalname="XLXN_2" name="I0" />
- <blockpin signalname="b2" name="I1" />
- <blockpin signalname="XLXN_4" name="O" />
- </block>
- <block symbolname="or2" name="XLXI_5">
- <blockpin signalname="XLXN_5" name="I0" />
- <blockpin signalname="XLXN_4" name="I1" />
- <blockpin signalname="result" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_6">
- <blockpin signalname="b2" name="I" />
- <blockpin signalname="XLXN_8" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_7">
- <blockpin signalname="b3" name="I" />
- <blockpin signalname="XLXN_13" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_8">
- <blockpin signalname="b1" name="I" />
- <blockpin signalname="XLXN_16" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_9">
- <blockpin signalname="b0" name="I" />
- <blockpin signalname="XLXN_17" name="O" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <instance x="2032" y="1168" name="XLXI_2" orien="R0" />
- <branch name="XLXN_1">
- <wire x2="2032" y1="1040" y2="1040" x1="2000" />
- </branch>
- <instance x="1744" y="1136" name="XLXI_3" orien="R0" />
- <branch name="XLXN_2">
- <wire x2="2320" y1="1040" y2="1040" x1="2288" />
- </branch>
- <instance x="2320" y="1104" name="XLXI_4" orien="R0" />
- <instance x="2320" y="1568" name="XLXI_1" orien="R0" />
- <instance x="2624" y="1296" name="XLXI_5" orien="R0" />
- <branch name="XLXN_4">
- <wire x2="2592" y1="1008" y2="1008" x1="2576" />
- <wire x2="2592" y1="1008" y2="1168" x1="2592" />
- <wire x2="2624" y1="1168" y2="1168" x1="2592" />
- </branch>
- <branch name="XLXN_5">
- <wire x2="2592" y1="1408" y2="1408" x1="2576" />
- <wire x2="2592" y1="1232" y2="1408" x1="2592" />
- <wire x2="2624" y1="1232" y2="1232" x1="2592" />
- </branch>
- <branch name="b2">
- <wire x2="2320" y1="976" y2="976" x1="2288" />
- </branch>
- <iomarker fontsize="28" x="2288" y="976" name="b2" orien="R180" />
- <branch name="XLXN_8">
- <wire x2="2320" y1="1376" y2="1376" x1="2288" />
- </branch>
- <instance x="2064" y="1408" name="XLXI_6" orien="R0" />
- <branch name="b1">
- <wire x2="1744" y1="1008" y2="1008" x1="1712" />
- </branch>
- <iomarker fontsize="28" x="1712" y="1008" name="b1" orien="R180" />
- <instance x="1776" y="928" name="XLXI_7" orien="R0" />
- <branch name="XLXN_13">
- <wire x2="2032" y1="896" y2="896" x1="2000" />
- <wire x2="2032" y1="896" y2="976" x1="2032" />
- </branch>
- <instance x="1776" y="1232" name="XLXI_8" orien="R0" />
- <branch name="XLXN_16">
- <wire x2="2032" y1="1200" y2="1200" x1="2000" />
- <wire x2="2032" y1="1104" y2="1200" x1="2032" />
- </branch>
- <branch name="XLXN_17">
- <wire x2="1744" y1="1072" y2="1072" x1="1712" />
- </branch>
- <instance x="1488" y="1104" name="XLXI_9" orien="R0" />
- <branch name="b3">
- <wire x2="1776" y1="896" y2="896" x1="1744" />
- </branch>
- <iomarker fontsize="28" x="1744" y="896" name="b3" orien="R180" />
- <branch name="b0">
- <wire x2="1488" y1="1072" y2="1072" x1="1456" />
- </branch>
- <iomarker fontsize="28" x="1456" y="1072" name="b0" orien="R180" />
- <branch name="b1">
- <wire x2="1776" y1="1200" y2="1200" x1="1744" />
- </branch>
- <iomarker fontsize="28" x="1744" y="1200" name="b1" orien="R180" />
- <branch name="b0">
- <wire x2="2320" y1="1312" y2="1312" x1="2288" />
- </branch>
- <iomarker fontsize="28" x="2288" y="1312" name="b0" orien="R180" />
- <branch name="b1">
- <wire x2="2320" y1="1440" y2="1440" x1="2288" />
- </branch>
- <iomarker fontsize="28" x="2288" y="1440" name="b1" orien="R180" />
- <branch name="b3">
- <wire x2="2320" y1="1504" y2="1504" x1="2288" />
- </branch>
- <iomarker fontsize="28" x="2288" y="1504" name="b3" orien="R180" />
- <branch name="result">
- <wire x2="2912" y1="1200" y2="1200" x1="2880" />
- </branch>
- <iomarker fontsize="28" x="2912" y="1200" name="result" orien="R0" />
- <branch name="b2">
- <wire x2="2064" y1="1376" y2="1376" x1="2032" />
- </branch>
- <iomarker fontsize="28" x="2032" y="1376" name="b2" orien="R180" />
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="XLXN_1" />
+ <signal name="XLXN_2" />
+ <signal name="XLXN_4" />
+ <signal name="XLXN_5" />
+ <signal name="b2" />
+ <signal name="XLXN_8" />
+ <signal name="b1" />
+ <signal name="XLXN_13" />
+ <signal name="XLXN_16" />
+ <signal name="XLXN_17" />
+ <signal name="b3" />
+ <signal name="b0" />
+ <signal name="result" />
+ <port polarity="Input" name="b2" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Output" name="result" />
+ <blockdef name="and4">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-112" y2="-112" x1="144" />
+ <arc ex="144" ey="-208" sx="144" sy="-112" r="48" cx="144" cy="-160" />
+ <line x2="144" y1="-208" y2="-208" x1="64" />
+ <line x2="64" y1="-64" y2="-256" x1="64" />
+ <line x2="192" y1="-160" y2="-160" x1="256" />
+ <line x2="64" y1="-256" y2="-256" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ </blockdef>
+ <blockdef name="or3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="72" y1="-128" y2="-128" x1="0" />
+ <line x2="48" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
+ <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
+ <line x2="48" y1="-64" y2="-80" x1="48" />
+ <line x2="48" y1="-192" y2="-176" x1="48" />
+ <line x2="48" y1="-80" y2="-80" x1="112" />
+ <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
+ <line x2="48" y1="-176" y2="-176" x1="112" />
+ </blockdef>
+ <blockdef name="and2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
+ <line x2="64" y1="-48" y2="-48" x1="144" />
+ <line x2="144" y1="-144" y2="-144" x1="64" />
+ <line x2="64" y1="-48" y2="-144" x1="64" />
+ </blockdef>
+ <blockdef name="or2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
+ <arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
+ <line x2="48" y1="-144" y2="-144" x1="112" />
+ <arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
+ <line x2="48" y1="-48" y2="-48" x1="112" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <block symbolname="and4" name="XLXI_1">
+ <blockpin signalname="b3" name="I0" />
+ <blockpin signalname="b1" name="I1" />
+ <blockpin signalname="XLXN_8" name="I2" />
+ <blockpin signalname="b0" name="I3" />
+ <blockpin signalname="XLXN_5" name="O" />
+ </block>
+ <block symbolname="or3" name="XLXI_2">
+ <blockpin signalname="XLXN_16" name="I0" />
+ <blockpin signalname="XLXN_1" name="I1" />
+ <blockpin signalname="XLXN_13" name="I2" />
+ <blockpin signalname="XLXN_2" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_3">
+ <blockpin signalname="XLXN_17" name="I0" />
+ <blockpin signalname="b1" name="I1" />
+ <blockpin signalname="XLXN_1" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_4">
+ <blockpin signalname="XLXN_2" name="I0" />
+ <blockpin signalname="b2" name="I1" />
+ <blockpin signalname="XLXN_4" name="O" />
+ </block>
+ <block symbolname="or2" name="XLXI_5">
+ <blockpin signalname="XLXN_5" name="I0" />
+ <blockpin signalname="XLXN_4" name="I1" />
+ <blockpin signalname="result" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_6">
+ <blockpin signalname="b2" name="I" />
+ <blockpin signalname="XLXN_8" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_7">
+ <blockpin signalname="b3" name="I" />
+ <blockpin signalname="XLXN_13" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_8">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_16" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_9">
+ <blockpin signalname="b0" name="I" />
+ <blockpin signalname="XLXN_17" name="O" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <instance x="2032" y="1168" name="XLXI_2" orien="R0" />
+ <branch name="XLXN_1">
+ <wire x2="2032" y1="1040" y2="1040" x1="2000" />
+ </branch>
+ <instance x="1744" y="1136" name="XLXI_3" orien="R0" />
+ <branch name="XLXN_2">
+ <wire x2="2320" y1="1040" y2="1040" x1="2288" />
+ </branch>
+ <instance x="2320" y="1104" name="XLXI_4" orien="R0" />
+ <instance x="2320" y="1568" name="XLXI_1" orien="R0" />
+ <instance x="2624" y="1296" name="XLXI_5" orien="R0" />
+ <branch name="XLXN_4">
+ <wire x2="2592" y1="1008" y2="1008" x1="2576" />
+ <wire x2="2592" y1="1008" y2="1168" x1="2592" />
+ <wire x2="2624" y1="1168" y2="1168" x1="2592" />
+ </branch>
+ <branch name="XLXN_5">
+ <wire x2="2592" y1="1408" y2="1408" x1="2576" />
+ <wire x2="2592" y1="1232" y2="1408" x1="2592" />
+ <wire x2="2624" y1="1232" y2="1232" x1="2592" />
+ </branch>
+ <branch name="b2">
+ <wire x2="2320" y1="976" y2="976" x1="2288" />
+ </branch>
+ <iomarker fontsize="28" x="2288" y="976" name="b2" orien="R180" />
+ <branch name="XLXN_8">
+ <wire x2="2320" y1="1376" y2="1376" x1="2288" />
+ </branch>
+ <instance x="2064" y="1408" name="XLXI_6" orien="R0" />
+ <branch name="b1">
+ <wire x2="1744" y1="1008" y2="1008" x1="1712" />
+ </branch>
+ <iomarker fontsize="28" x="1712" y="1008" name="b1" orien="R180" />
+ <instance x="1776" y="928" name="XLXI_7" orien="R0" />
+ <branch name="XLXN_13">
+ <wire x2="2032" y1="896" y2="896" x1="2000" />
+ <wire x2="2032" y1="896" y2="976" x1="2032" />
+ </branch>
+ <instance x="1776" y="1232" name="XLXI_8" orien="R0" />
+ <branch name="XLXN_16">
+ <wire x2="2032" y1="1200" y2="1200" x1="2000" />
+ <wire x2="2032" y1="1104" y2="1200" x1="2032" />
+ </branch>
+ <branch name="XLXN_17">
+ <wire x2="1744" y1="1072" y2="1072" x1="1712" />
+ </branch>
+ <instance x="1488" y="1104" name="XLXI_9" orien="R0" />
+ <branch name="b3">
+ <wire x2="1776" y1="896" y2="896" x1="1744" />
+ </branch>
+ <iomarker fontsize="28" x="1744" y="896" name="b3" orien="R180" />
+ <branch name="b0">
+ <wire x2="1488" y1="1072" y2="1072" x1="1456" />
+ </branch>
+ <iomarker fontsize="28" x="1456" y="1072" name="b0" orien="R180" />
+ <branch name="b1">
+ <wire x2="1776" y1="1200" y2="1200" x1="1744" />
+ </branch>
+ <iomarker fontsize="28" x="1744" y="1200" name="b1" orien="R180" />
+ <branch name="b0">
+ <wire x2="2320" y1="1312" y2="1312" x1="2288" />
+ </branch>
+ <iomarker fontsize="28" x="2288" y="1312" name="b0" orien="R180" />
+ <branch name="b1">
+ <wire x2="2320" y1="1440" y2="1440" x1="2288" />
+ </branch>
+ <iomarker fontsize="28" x="2288" y="1440" name="b1" orien="R180" />
+ <branch name="b3">
+ <wire x2="2320" y1="1504" y2="1504" x1="2288" />
+ </branch>
+ <iomarker fontsize="28" x="2288" y="1504" name="b3" orien="R180" />
+ <branch name="result">
+ <wire x2="2912" y1="1200" y2="1200" x1="2880" />
+ </branch>
+ <iomarker fontsize="28" x="2912" y="1200" name="result" orien="R0" />
+ <branch name="b2">
+ <wire x2="2064" y1="1376" y2="1376" x1="2032" />
+ </branch>
+ <iomarker fontsize="28" x="2032" y="1376" name="b2" orien="R180" />
+ </sheet>
</drawing> \ No newline at end of file
diff --git a/Divide_1.sym b/Divide_1.sym
index 4cf6ead..8793446 100755
--- a/Divide_1.sym
+++ b/Divide_1.sym
@@ -1,24 +1,24 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Divide_1">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:25:5</timestamp>
- <pin polarity="Input" x="0" y="-224" name="b2" />
- <pin polarity="Input" x="0" y="-160" name="b1" />
- <pin polarity="Input" x="0" y="-96" name="b3" />
- <pin polarity="Input" x="0" y="-32" name="b0" />
- <pin polarity="Output" x="384" y="-224" name="result" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b2" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b3" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b0" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Divide_1">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-16T23:13:16</timestamp>
+ <pin polarity="Input" x="0" y="-224" name="b2" />
+ <pin polarity="Input" x="0" y="-160" name="b1" />
+ <pin polarity="Input" x="0" y="-96" name="b3" />
+ <pin polarity="Input" x="0" y="-32" name="b0" />
+ <pin polarity="Output" x="384" y="-224" name="result" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b2" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b3" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b0" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </graph>
+</symbol>
diff --git a/Divide_1.vf b/Divide_1.vf
index ddd2752..fb10a43 100755
--- a/Divide_1.vf
+++ b/Divide_1.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Divide_1.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:06
+// /___/ /\ Timestamp : 02/16/2012 18:40:35
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Divide_1.vf" -w "X:/My Documents/ec311/lab1/Divide_1.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Divide_1.vf" -w "X:/My Documents/ec311/ec311-lab1/Divide_1.sch"
//Design Name: Divide_1
//Device: spartan6
//Purpose:
diff --git a/Divide_2.cmd_log b/Divide_2.cmd_log
index 9059580..efabe69 100755
--- a/Divide_2.cmd_log
+++ b/Divide_2.cmd_log
@@ -1,2 +1,4 @@
sch2sym -intstyle ise -family spartan6 -refsym Divide_2 {X:/My Documents/ec311/lab1/Divide_2.sch} {X:/My Documents/ec311/lab1/Divide_2.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Divide_2 /home/michael/Documents/School/EC311/lab1/Divide_2.sch /home/michael/Documents/School/EC311/lab1/Divide_2.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_2 {X:/My Documents/ec311/ec311-lab1/Divide_2.sch} {X:/My Documents/ec311/ec311-lab1/Divide_2.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_2 {X:/My Documents/ec311/ec311-lab1/Divide_2.sch} {X:/My Documents/ec311/ec311-lab1/Divide_2.sym}
diff --git a/Divide_2.jhd b/Divide_2.jhd
index 26b7fc0..17d24fb 100755
--- a/Divide_2.jhd
+++ b/Divide_2.jhd
@@ -1 +1 @@
-MODULE Divide_2
+MODULE Divide_2
diff --git a/Divide_2.sch b/Divide_2.sch
index 152b17f..500f1fa 100755
--- a/Divide_2.sch
+++ b/Divide_2.sch
@@ -1,84 +1,120 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="result" />
- <signal name="b3" />
- <signal name="XLXN_9" />
- <signal name="b1" />
- <signal name="b0" />
- <signal name="b2" />
- <port polarity="Output" name="result" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b1" />
- <port polarity="Input" name="b0" />
- <port polarity="Input" name="b2" />
- <blockdef name="and2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="192" y1="-96" y2="-96" x1="256" />
- <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
- <line x2="64" y1="-48" y2="-48" x1="144" />
- <line x2="144" y1="-144" y2="-144" x1="64" />
- <line x2="64" y1="-48" y2="-144" x1="64" />
- </blockdef>
- <blockdef name="nor3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="48" y1="-64" y2="-64" x1="0" />
- <line x2="72" y1="-128" y2="-128" x1="0" />
- <line x2="48" y1="-192" y2="-192" x1="0" />
- <line x2="216" y1="-128" y2="-128" x1="256" />
- <circle r="12" cx="204" cy="-128" />
- <line x2="48" y1="-64" y2="-80" x1="48" />
- <line x2="48" y1="-192" y2="-176" x1="48" />
- <line x2="48" y1="-80" y2="-80" x1="112" />
- <line x2="48" y1="-176" y2="-176" x1="112" />
- <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
- <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
- <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
- </blockdef>
- <block symbolname="and2" name="XLXI_10">
- <blockpin signalname="XLXN_9" name="I0" />
- <blockpin signalname="b3" name="I1" />
- <blockpin signalname="result" name="O" />
- </block>
- <block symbolname="nor3" name="XLXI_11">
- <blockpin signalname="b2" name="I0" />
- <blockpin signalname="b1" name="I1" />
- <blockpin signalname="b0" name="I2" />
- <blockpin signalname="XLXN_9" name="O" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <branch name="result">
- <wire x2="2192" y1="1264" y2="1264" x1="2160" />
- </branch>
- <iomarker fontsize="28" x="2192" y="1264" name="result" orien="R0" />
- <instance x="1904" y="1360" name="XLXI_10" orien="R0" />
- <branch name="b3">
- <wire x2="1904" y1="1232" y2="1232" x1="1872" />
- </branch>
- <iomarker fontsize="28" x="1872" y="1232" name="b3" orien="R180" />
- <branch name="XLXN_9">
- <wire x2="1904" y1="1296" y2="1296" x1="1872" />
- </branch>
- <instance x="1616" y="1424" name="XLXI_11" orien="R0" />
- <branch name="b1">
- <wire x2="1616" y1="1296" y2="1296" x1="1536" />
- </branch>
- <iomarker fontsize="28" x="1536" y="1296" name="b1" orien="R180" />
- <branch name="b0">
- <wire x2="1616" y1="1232" y2="1232" x1="1584" />
- </branch>
- <iomarker fontsize="28" x="1584" y="1232" name="b0" orien="R180" />
- <branch name="b2">
- <wire x2="1616" y1="1360" y2="1360" x1="1584" />
- </branch>
- <iomarker fontsize="28" x="1584" y="1360" name="b2" orien="R180" />
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="result" />
+ <signal name="b3" />
+ <signal name="XLXN_9" />
+ <signal name="XLXN_13" />
+ <signal name="XLXN_14" />
+ <signal name="XLXN_15" />
+ <signal name="b1" />
+ <signal name="b0" />
+ <signal name="b2" />
+ <port polarity="Output" name="result" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Input" name="b2" />
+ <blockdef name="and2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
+ <line x2="64" y1="-48" y2="-48" x1="144" />
+ <line x2="144" y1="-144" y2="-144" x1="64" />
+ <line x2="64" y1="-48" y2="-144" x1="64" />
+ </blockdef>
+ <blockdef name="or3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="72" y1="-128" y2="-128" x1="0" />
+ <line x2="48" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
+ <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
+ <line x2="48" y1="-64" y2="-80" x1="48" />
+ <line x2="48" y1="-192" y2="-176" x1="48" />
+ <line x2="48" y1="-80" y2="-80" x1="112" />
+ <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
+ <line x2="48" y1="-176" y2="-176" x1="112" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <block symbolname="and2" name="XLXI_10">
+ <blockpin signalname="XLXN_9" name="I0" />
+ <blockpin signalname="b3" name="I1" />
+ <blockpin signalname="result" name="O" />
+ </block>
+ <block symbolname="or3" name="XLXI_12">
+ <blockpin signalname="XLXN_15" name="I0" />
+ <blockpin signalname="XLXN_14" name="I1" />
+ <blockpin signalname="XLXN_13" name="I2" />
+ <blockpin signalname="XLXN_9" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_13">
+ <blockpin signalname="b0" name="I" />
+ <blockpin signalname="XLXN_13" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_15">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_14" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_16">
+ <blockpin signalname="b2" name="I" />
+ <blockpin signalname="XLXN_15" name="O" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <branch name="result">
+ <wire x2="2192" y1="1264" y2="1264" x1="2160" />
+ </branch>
+ <iomarker fontsize="28" x="2192" y="1264" name="result" orien="R0" />
+ <instance x="1904" y="1360" name="XLXI_10" orien="R0" />
+ <branch name="b3">
+ <wire x2="1904" y1="1232" y2="1232" x1="1872" />
+ </branch>
+ <iomarker fontsize="28" x="1872" y="1232" name="b3" orien="R180" />
+ <branch name="XLXN_9">
+ <wire x2="1888" y1="1296" y2="1296" x1="1872" />
+ <wire x2="1904" y1="1296" y2="1296" x1="1888" />
+ </branch>
+ <instance x="1632" y="1424" name="XLXI_12" orien="R0" />
+ <branch name="XLXN_13">
+ <wire x2="1632" y1="1232" y2="1232" x1="1600" />
+ </branch>
+ <instance x="1376" y="1264" name="XLXI_13" orien="R0" />
+ <branch name="XLXN_14">
+ <wire x2="1632" y1="1296" y2="1296" x1="1600" />
+ </branch>
+ <instance x="1376" y="1328" name="XLXI_15" orien="R0" />
+ <branch name="XLXN_15">
+ <wire x2="1632" y1="1360" y2="1360" x1="1600" />
+ </branch>
+ <instance x="1376" y="1392" name="XLXI_16" orien="R0" />
+ <branch name="b1">
+ <wire x2="1376" y1="1296" y2="1296" x1="1296" />
+ </branch>
+ <branch name="b0">
+ <wire x2="1376" y1="1232" y2="1232" x1="1344" />
+ </branch>
+ <branch name="b2">
+ <wire x2="1376" y1="1360" y2="1360" x1="1344" />
+ </branch>
+ <iomarker fontsize="28" x="1296" y="1296" name="b1" orien="R180" />
+ <iomarker fontsize="28" x="1344" y="1232" name="b0" orien="R180" />
+ <iomarker fontsize="28" x="1344" y="1360" name="b2" orien="R180" />
+ </sheet>
</drawing> \ No newline at end of file
diff --git a/Divide_2.sym b/Divide_2.sym
index 87e2127..2554cf8 100755
--- a/Divide_2.sym
+++ b/Divide_2.sym
@@ -1,24 +1,24 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Divide_2">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:25:9</timestamp>
- <pin polarity="Input" x="0" y="-160" name="b3" />
- <pin polarity="Input" x="0" y="-224" name="b1" />
- <pin polarity="Input" x="0" y="-32" name="b0" />
- <pin polarity="Input" x="0" y="-96" name="b2" />
- <pin polarity="Output" x="384" y="-224" name="result" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b3" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b1" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b0" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b2" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Divide_2">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-17T0:27:48</timestamp>
+ <pin polarity="Input" x="0" y="-160" name="b3" />
+ <pin polarity="Input" x="0" y="-224" name="b1" />
+ <pin polarity="Input" x="0" y="-32" name="b0" />
+ <pin polarity="Input" x="0" y="-96" name="b2" />
+ <pin polarity="Output" x="384" y="-224" name="result" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b3" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b0" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b2" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </graph>
+</symbol>
diff --git a/Divide_2.vf b/Divide_2.vf
index a09efec..453ee10 100755
--- a/Divide_2.vf
+++ b/Divide_2.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Divide_2.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:06
+// /___/ /\ Timestamp : 02/16/2012 19:28:08
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Divide_2.vf" -w "X:/My Documents/ec311/lab1/Divide_2.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Divide_2.vf" -w "X:/My Documents/ec311/ec311-lab1/Divide_2.sch"
//Design Name: Divide_2
//Device: spartan6
//Purpose:
@@ -32,23 +32,22 @@ module Divide_2(b0,
input b3;
output result;
- wire XLXN_1;
- wire XLXN_2;
- wire XLXN_3;
- wire XLXN_7;
+ wire XLXN_9;
+ wire XLXN_13;
+ wire XLXN_14;
+ wire XLXN_15;
- OR3 XLXI_1 (.I0(XLXN_1),
- .I1(XLXN_7),
- .I2(XLXN_3),
- .O(result));
- AND3 XLXI_2 (.I0(XLXN_2),
- .I1(b3),
- .I2(b1),
- .O(XLXN_1));
- INV XLXI_3 (.I(b2),
- .O(XLXN_2));
- INV XLXI_4 (.I(b0),
- .O(XLXN_3));
- INV XLXI_9 (.I(b1),
- .O(XLXN_7));
+ AND2 XLXI_10 (.I0(XLXN_9),
+ .I1(b3),
+ .O(result));
+ OR3 XLXI_12 (.I0(XLXN_15),
+ .I1(XLXN_14),
+ .I2(XLXN_13),
+ .O(XLXN_9));
+ INV XLXI_13 (.I(b0),
+ .O(XLXN_13));
+ INV XLXI_15 (.I(b1),
+ .O(XLXN_14));
+ INV XLXI_16 (.I(b2),
+ .O(XLXN_15));
endmodule
diff --git a/Divide_3.cmd_log b/Divide_3.cmd_log
index 6f95eb8..ec2b844 100755
--- a/Divide_3.cmd_log
+++ b/Divide_3.cmd_log
@@ -1,2 +1,4 @@
sch2sym -intstyle ise -family spartan6 -refsym Divide_3 {X:/My Documents/ec311/lab1/Divide_3.sch} {X:/My Documents/ec311/lab1/Divide_3.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Divide_3 /home/michael/Documents/School/EC311/lab1/Divide_3.sch /home/michael/Documents/School/EC311/lab1/Divide_3.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_3 {X:/My Documents/ec311/ec311-lab1/Divide_3.sch} {X:/My Documents/ec311/ec311-lab1/Divide_3.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Divide_3 {X:/My Documents/ec311/ec311-lab1/Divide_3.sch} {X:/My Documents/ec311/ec311-lab1/Divide_3.sym}
diff --git a/Divide_3.sch b/Divide_3.sch
index c586db1..4760890 100755
--- a/Divide_3.sch
+++ b/Divide_3.sch
@@ -8,15 +8,18 @@
<netlist>
<signal name="XLXN_2" />
<signal name="result" />
+ <signal name="b3" />
<signal name="b2" />
<signal name="b1" />
<signal name="b0" />
- <signal name="b3" />
+ <signal name="XLXN_13" />
+ <signal name="XLXN_14" />
+ <signal name="XLXN_15" />
<port polarity="Output" name="result" />
+ <port polarity="Input" name="b3" />
<port polarity="Input" name="b2" />
<port polarity="Input" name="b1" />
<port polarity="Input" name="b0" />
- <port polarity="Input" name="b3" />
<blockdef name="and2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
@@ -27,58 +30,90 @@
<line x2="144" y1="-144" y2="-144" x1="64" />
<line x2="64" y1="-48" y2="-144" x1="64" />
</blockdef>
- <blockdef name="nor3">
+ <blockdef name="or3">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="48" y1="-64" y2="-64" x1="0" />
<line x2="72" y1="-128" y2="-128" x1="0" />
<line x2="48" y1="-192" y2="-192" x1="0" />
- <line x2="216" y1="-128" y2="-128" x1="256" />
- <circle r="12" cx="204" cy="-128" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
+ <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
<line x2="48" y1="-64" y2="-80" x1="48" />
<line x2="48" y1="-192" y2="-176" x1="48" />
<line x2="48" y1="-80" y2="-80" x1="112" />
- <line x2="48" y1="-176" y2="-176" x1="112" />
- <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
- <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
<arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
+ <line x2="48" y1="-176" y2="-176" x1="112" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
</blockdef>
<block symbolname="and2" name="XLXI_2">
<blockpin signalname="XLXN_2" name="I0" />
<blockpin signalname="b3" name="I1" />
<blockpin signalname="result" name="O" />
</block>
- <block symbolname="nor3" name="XLXI_3">
- <blockpin signalname="b0" name="I0" />
- <blockpin signalname="b1" name="I1" />
- <blockpin signalname="b2" name="I2" />
+ <block symbolname="or3" name="XLXI_4">
+ <blockpin signalname="XLXN_15" name="I0" />
+ <blockpin signalname="XLXN_14" name="I1" />
+ <blockpin signalname="XLXN_13" name="I2" />
<blockpin signalname="XLXN_2" name="O" />
</block>
+ <block symbolname="inv" name="XLXI_6">
+ <blockpin signalname="b2" name="I" />
+ <blockpin signalname="XLXN_13" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_7">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_14" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_8">
+ <blockpin signalname="b0" name="I" />
+ <blockpin signalname="XLXN_15" name="O" />
+ </block>
</netlist>
<sheet sheetnum="1" width="3520" height="2720">
<instance x="1920" y="1408" name="XLXI_2" orien="R0" />
<branch name="XLXN_2">
<wire x2="1920" y1="1344" y2="1344" x1="1888" />
</branch>
- <instance x="1632" y="1472" name="XLXI_3" orien="R0" />
<branch name="result">
<wire x2="2208" y1="1312" y2="1312" x1="2176" />
</branch>
<iomarker fontsize="28" x="2208" y="1312" name="result" orien="R0" />
- <branch name="b2">
+ <branch name="b3">
+ <wire x2="1920" y1="1280" y2="1280" x1="1888" />
+ </branch>
+ <iomarker fontsize="28" x="1888" y="1280" name="b3" orien="R180" />
+ <instance x="1632" y="1472" name="XLXI_4" orien="R0" />
+ <branch name="XLXN_13">
<wire x2="1632" y1="1280" y2="1280" x1="1600" />
</branch>
- <iomarker fontsize="28" x="1600" y="1280" name="b2" orien="R180" />
- <branch name="b1">
+ <instance x="1376" y="1312" name="XLXI_6" orien="R0" />
+ <branch name="XLXN_14">
<wire x2="1632" y1="1344" y2="1344" x1="1600" />
</branch>
- <iomarker fontsize="28" x="1600" y="1344" name="b1" orien="R180" />
- <branch name="b0">
+ <instance x="1376" y="1376" name="XLXI_7" orien="R0" />
+ <branch name="XLXN_15">
<wire x2="1632" y1="1408" y2="1408" x1="1600" />
</branch>
- <iomarker fontsize="28" x="1600" y="1408" name="b0" orien="R180" />
- <branch name="b3">
- <wire x2="1920" y1="1280" y2="1280" x1="1888" />
+ <instance x="1376" y="1440" name="XLXI_8" orien="R0" />
+ <branch name="b2">
+ <wire x2="1376" y1="1280" y2="1280" x1="1360" />
</branch>
- <iomarker fontsize="28" x="1888" y="1280" name="b3" orien="R180" />
+ <branch name="b1">
+ <wire x2="1376" y1="1344" y2="1344" x1="1360" />
+ </branch>
+ <branch name="b0">
+ <wire x2="1376" y1="1408" y2="1408" x1="1360" />
+ </branch>
+ <iomarker fontsize="28" x="1360" y="1280" name="b2" orien="R180" />
+ <iomarker fontsize="28" x="1360" y="1344" name="b1" orien="R180" />
+ <iomarker fontsize="28" x="1360" y="1408" name="b0" orien="R180" />
</sheet>
</drawing> \ No newline at end of file
diff --git a/Divide_3.sym b/Divide_3.sym
index 65fc49e..f48e1f2 100755
--- a/Divide_3.sym
+++ b/Divide_3.sym
@@ -1,24 +1,24 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Divide_3">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:25:12</timestamp>
- <pin polarity="Input" x="0" y="-224" name="b2" />
- <pin polarity="Input" x="0" y="-160" name="b1" />
- <pin polarity="Input" x="0" y="-96" name="b0" />
- <pin polarity="Input" x="0" y="-32" name="b3" />
- <pin polarity="Output" x="384" y="-224" name="result" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b2" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b0" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b3" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Divide_3">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-17T0:22:15</timestamp>
+ <pin polarity="Input" x="0" y="-32" name="b3" />
+ <pin polarity="Input" x="0" y="-224" name="b2" />
+ <pin polarity="Input" x="0" y="-160" name="b1" />
+ <pin polarity="Input" x="0" y="-96" name="b0" />
+ <pin polarity="Output" x="384" y="-224" name="result" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b3" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b2" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b0" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </graph>
+</symbol>
diff --git a/Divide_3.vf b/Divide_3.vf
index 7a443d9..3bb123e 100755
--- a/Divide_3.vf
+++ b/Divide_3.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Divide_3.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:07
+// /___/ /\ Timestamp : 02/16/2012 19:22:52
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Divide_3.vf" -w "X:/My Documents/ec311/lab1/Divide_3.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Divide_3.vf" -w "X:/My Documents/ec311/ec311-lab1/Divide_3.sch"
//Design Name: Divide_3
//Device: spartan6
//Purpose:
@@ -33,12 +33,21 @@ module Divide_3(b0,
output result;
wire XLXN_2;
+ wire XLXN_13;
+ wire XLXN_14;
+ wire XLXN_15;
AND2 XLXI_2 (.I0(XLXN_2),
.I1(b3),
.O(result));
- NOR3 XLXI_3 (.I0(b0),
- .I1(b1),
- .I2(b2),
- .O(XLXN_2));
+ OR3 XLXI_4 (.I0(XLXN_15),
+ .I1(XLXN_14),
+ .I2(XLXN_13),
+ .O(XLXN_2));
+ INV XLXI_6 (.I(b2),
+ .O(XLXN_13));
+ INV XLXI_7 (.I(b1),
+ .O(XLXN_14));
+ INV XLXI_8 (.I(b0),
+ .O(XLXN_15));
endmodule
diff --git a/Modulo.cmd_log b/Modulo.cmd_log
index 8d43cf1..6afaaf8 100755
--- a/Modulo.cmd_log
+++ b/Modulo.cmd_log
@@ -1,2 +1,7 @@
sch2sym -intstyle ise -family spartan6 -refsym Modulo {X:/My Documents/ec311/lab1/Modulo.sch} {X:/My Documents/ec311/lab1/Modulo.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Modulo /home/michael/Documents/School/EC311/lab1/Modulo.sch /home/michael/Documents/School/EC311/lab1/Modulo.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo {X:/My Documents/ec311/ec311-lab1/Modulo.sch} {X:/My Documents/ec311/ec311-lab1/Modulo.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo {X:/My Documents/ec311/ec311-lab1/Modulo.sch} {X:/My Documents/ec311/ec311-lab1/Modulo.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo {X:/My Documents/ec311/ec311-lab1/Modulo.sch} {X:/My Documents/ec311/ec311-lab1/Modulo.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo {X:/My Documents/ec311/ec311-lab1/Modulo.sch} {X:/My Documents/ec311/ec311-lab1/Modulo.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo {X:/My Documents/ec311/ec311-lab1/Modulo.sch} {X:/My Documents/ec311/ec311-lab1/Modulo.sym}
diff --git a/Modulo.sch b/Modulo.sch
index 62c204d..7240d6b 100755
--- a/Modulo.sch
+++ b/Modulo.sch
@@ -23,7 +23,7 @@
<port polarity="Output" name="out1" />
<port polarity="Output" name="out0" />
<blockdef name="Modulo_3">
- <timestamp>2012-2-15T19:55:5</timestamp>
+ <timestamp>2012-2-17T0:37:33</timestamp>
<rect width="256" x="64" y="-256" height="256" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<line x2="0" y1="-160" y2="-160" x1="64" />
@@ -32,7 +32,7 @@
<line x2="384" y1="-224" y2="-224" x1="320" />
</blockdef>
<blockdef name="Modulo_1">
- <timestamp>2012-2-15T19:55:48</timestamp>
+ <timestamp>2012-2-17T0:43:13</timestamp>
<rect width="256" x="64" y="-256" height="256" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<line x2="0" y1="-160" y2="-160" x1="64" />
@@ -41,7 +41,7 @@
<line x2="384" y1="-224" y2="-224" x1="320" />
</blockdef>
<blockdef name="Modulo_0">
- <timestamp>2012-2-15T19:55:1</timestamp>
+ <timestamp>2012-2-17T0:51:57</timestamp>
<rect width="256" x="64" y="-256" height="256" />
<line x2="0" y1="-224" y2="-224" x1="64" />
<line x2="0" y1="-160" y2="-160" x1="64" />
diff --git a/Modulo.schlog b/Modulo.schlog
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/Modulo.schlog
diff --git a/Modulo.sym b/Modulo.sym
index 618989f..f139633 100755
--- a/Modulo.sym
+++ b/Modulo.sym
@@ -1,33 +1,33 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Modulo">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:29:51</timestamp>
- <pin polarity="Input" x="0" y="-224" name="b0" />
- <pin polarity="Input" x="0" y="-160" name="b3" />
- <pin polarity="Input" x="0" y="-96" name="b2" />
- <pin polarity="Input" x="0" y="-32" name="b1" />
- <pin polarity="Output" x="384" y="-224" name="out3" />
- <pin polarity="Output" x="384" y="-160" name="out2" />
- <pin polarity="Output" x="384" y="-96" name="out1" />
- <pin polarity="Output" x="384" y="-32" name="out0" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b0" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b3" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b2" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b1" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin out3" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-160" type="pin out2" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-96" type="pin out1" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin out0" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- <line x2="384" y1="-160" y2="-160" x1="320" />
- <line x2="384" y1="-96" y2="-96" x1="320" />
- <line x2="384" y1="-32" y2="-32" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Modulo">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-17T0:52:15</timestamp>
+ <pin polarity="Input" x="0" y="-224" name="b0" />
+ <pin polarity="Input" x="0" y="-160" name="b3" />
+ <pin polarity="Input" x="0" y="-96" name="b2" />
+ <pin polarity="Input" x="0" y="-32" name="b1" />
+ <pin polarity="Output" x="384" y="-224" name="out3" />
+ <pin polarity="Output" x="384" y="-160" name="out2" />
+ <pin polarity="Output" x="384" y="-96" name="out1" />
+ <pin polarity="Output" x="384" y="-32" name="out0" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b0" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b3" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b2" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b1" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin out3" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-160" type="pin out2" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-96" type="pin out1" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin out0" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </graph>
+</symbol>
diff --git a/Modulo.vf b/Modulo.vf
index 045ae26..0007fe1 100755
--- a/Modulo.vf
+++ b/Modulo.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Modulo.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:07
+// /___/ /\ Timestamp : 02/16/2012 19:52:31
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Modulo.vf" -w "X:/My Documents/ec311/lab1/Modulo.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Modulo.vf" -w "X:/My Documents/ec311/ec311-lab1/Modulo.sch"
//Design Name: Modulo
//Device: spartan6
//Purpose:
@@ -35,23 +35,40 @@ module Modulo_0_MUSER_Modulo(b0,
wire XLXN_1;
wire XLXN_3;
wire XLXN_4;
- wire XLXN_5;
+ wire XLXN_12;
+ wire XLXN_14;
+ wire XLXN_15;
+ wire XLXN_16;
+ wire XLXN_27;
+ wire XLXN_28;
- XNOR2 XLXI_1 (.I0(b0),
- .I1(b1),
- .O(XLXN_1));
AND2 XLXI_2 (.I0(XLXN_1),
.I1(b2),
.O(XLXN_4));
- NAND3 XLXI_3 (.I0(XLXN_5),
- .I1(b1),
- .I2(b2),
- .O(XLXN_3));
OR2 XLXI_4 (.I0(XLXN_4),
.I1(XLXN_3),
.O(result));
- INV XLXI_5 (.I(b0),
- .O(XLXN_5));
+ INV XLXI_9 (.I(b1),
+ .O(XLXN_15));
+ INV XLXI_10 (.I(b0),
+ .O(XLXN_16));
+ AND3 XLXI_11 (.I0(b0),
+ .I1(XLXN_28),
+ .I2(XLXN_27),
+ .O(XLXN_3));
+ INV XLXI_12 (.I(b2),
+ .O(XLXN_27));
+ INV XLXI_13 (.I(b1),
+ .O(XLXN_28));
+ OR2 XLXI_14 (.I0(XLXN_14),
+ .I1(XLXN_12),
+ .O(XLXN_1));
+ AND2 XLXI_15 (.I0(b0),
+ .I1(b1),
+ .O(XLXN_12));
+ AND2 XLXI_16 (.I0(XLXN_16),
+ .I1(XLXN_15),
+ .O(XLXN_14));
endmodule
`timescale 1ns / 1ps
@@ -67,24 +84,24 @@ module Modulo_1_MUSER_Modulo(b0,
input b3;
output result;
- wire XLXN_1;
wire XLXN_2;
wire XLXN_3;
wire XLXN_5;
wire XLXN_6;
wire XLXN_7;
- wire XLXN_18;
- wire XLXN_19;
- wire XLXN_20;
- wire XLXN_21;
- wire XLXN_22;
wire XLXN_23;
wire XLXN_24;
+ wire XLXN_50;
+ wire XLXN_51;
+ wire XLXN_54;
+ wire XLXN_55;
+ wire XLXN_56;
+ wire XLXN_57;
AND3 XLXI_1 (.I0(b1),
.I1(b2),
.I2(b3),
- .O(XLXN_1));
+ .O(XLXN_55));
AND3 XLXI_2 (.I0(XLXN_6),
.I1(XLXN_5),
.I2(b3),
@@ -93,38 +110,38 @@ module Modulo_1_MUSER_Modulo(b0,
.I1(XLXN_7),
.I2(b3),
.O(XLXN_3));
- OR3 XLXI_4 (.I0(XLXN_3),
- .I1(XLXN_2),
- .I2(XLXN_1),
- .O(XLXN_21));
INV XLXI_5 (.I(b1),
.O(XLXN_5));
INV XLXI_6 (.I(b0),
.O(XLXN_6));
INV XLXI_7 (.I(b2),
.O(XLXN_7));
- OR2 XLXI_9 (.I0(XLXN_20),
- .I1(XLXN_21),
- .O(result));
AND4 XLXI_11 (.I0(b0),
.I1(XLXN_24),
.I2(b2),
.I3(XLXN_23),
- .O(XLXN_19));
- OR2 XLXI_12 (.I0(XLXN_19),
- .I1(XLXN_18),
- .O(XLXN_20));
- NAND4 XLXI_13 (.I0(b0),
- .I1(XLXN_22),
- .I2(b2),
- .I3(b3),
- .O(XLXN_18));
- INV XLXI_14 (.I(b1),
- .O(XLXN_22));
+ .O(XLXN_57));
INV XLXI_15 (.I(b3),
.O(XLXN_23));
INV XLXI_16 (.I(b1),
.O(XLXN_24));
+ AND4 XLXI_18 (.I0(XLXN_54),
+ .I1(b1),
+ .I2(XLXN_50),
+ .I3(XLXN_51),
+ .O(XLXN_56));
+ INV XLXI_19 (.I(b2),
+ .O(XLXN_50));
+ INV XLXI_20 (.I(b3),
+ .O(XLXN_51));
+ INV XLXI_21 (.I(b0),
+ .O(XLXN_54));
+ OR5 XLXI_22 (.I0(XLXN_57),
+ .I1(XLXN_56),
+ .I2(XLXN_3),
+ .I3(XLXN_2),
+ .I4(XLXN_55),
+ .O(result));
endmodule
`timescale 1ns / 1ps
diff --git a/Modulo_0.cmd_log b/Modulo_0.cmd_log
index 8677539..c77853d 100755
--- a/Modulo_0.cmd_log
+++ b/Modulo_0.cmd_log
@@ -1,2 +1,6 @@
sch2sym -intstyle ise -family spartan6 -refsym Modulo_0 {X:/My Documents/ec311/lab1/Modulo_0.sch} {X:/My Documents/ec311/lab1/Modulo_0.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_0 /home/michael/Documents/School/EC311/lab1/Modulo_0.sch /home/michael/Documents/School/EC311/lab1/Modulo_0.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_0 {X:/My Documents/ec311/ec311-lab1/Modulo_0.sch} {X:/My Documents/ec311/ec311-lab1/Modulo_0.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_0 {X:/My Documents/ec311/ec311-lab1/Modulo_0.sch} {X:/My Documents/ec311/ec311-lab1/Modulo_0.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_0 {X:/My Documents/ec311/ec311-lab1/Modulo_0.sch} {X:/My Documents/ec311/ec311-lab1/Modulo_0.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_0 {X:/My Documents/ec311/ec311-lab1/Modulo_0.sch} {X:/My Documents/ec311/ec311-lab1/Modulo_0.sym}
diff --git a/Modulo_0.sch b/Modulo_0.sch
index f060ebf..096f110 100755
--- a/Modulo_0.sch
+++ b/Modulo_0.sch
@@ -9,31 +9,22 @@
<signal name="XLXN_1" />
<signal name="XLXN_3" />
<signal name="XLXN_4" />
- <signal name="XLXN_5" />
<signal name="b2" />
<signal name="b1" />
<signal name="b0" />
<signal name="result" />
<signal name="b3" />
+ <signal name="XLXN_12" />
+ <signal name="XLXN_14" />
+ <signal name="XLXN_15" />
+ <signal name="XLXN_16" />
+ <signal name="XLXN_27" />
+ <signal name="XLXN_28" />
<port polarity="Input" name="b2" />
<port polarity="Input" name="b1" />
<port polarity="Input" name="b0" />
<port polarity="Output" name="result" />
<port polarity="Input" name="b3" />
- <blockdef name="xnor2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="60" y1="-128" y2="-128" x1="0" />
- <arc ex="44" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
- <arc ex="64" ey="-144" sx="64" sy="-48" r="56" cx="32" cy="-96" />
- <line x2="64" y1="-144" y2="-144" x1="128" />
- <line x2="64" y1="-48" y2="-48" x1="128" />
- <arc ex="128" ey="-144" sx="208" sy="-96" r="88" cx="132" cy="-56" />
- <arc ex="208" ey="-96" sx="128" sy="-48" r="88" cx="132" cy="-136" />
- <circle r="8" cx="220" cy="-96" />
- <line x2="256" y1="-96" y2="-96" x1="228" />
- <line x2="60" y1="-28" y2="-28" x1="60" />
- </blockdef>
<blockdef name="and2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
@@ -44,18 +35,6 @@
<line x2="144" y1="-144" y2="-144" x1="64" />
<line x2="64" y1="-48" y2="-144" x1="64" />
</blockdef>
- <blockdef name="nand3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="216" y1="-128" y2="-128" x1="256" />
- <circle r="12" cx="204" cy="-128" />
- <line x2="144" y1="-176" y2="-176" x1="64" />
- <line x2="64" y1="-80" y2="-80" x1="144" />
- <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
- <line x2="64" y1="-64" y2="-192" x1="64" />
- </blockdef>
<blockdef name="or2">
<timestamp>2000-1-1T10:10:10</timestamp>
<line x2="64" y1="-64" y2="-64" x1="0" />
@@ -76,39 +55,70 @@
<line x2="64" y1="0" y2="-64" x1="64" />
<circle r="16" cx="144" cy="-32" />
</blockdef>
- <block symbolname="xnor2" name="XLXI_1">
- <blockpin signalname="b0" name="I0" />
- <blockpin signalname="b1" name="I1" />
- <blockpin signalname="XLXN_1" name="O" />
- </block>
+ <blockdef name="and3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <line x2="144" y1="-176" y2="-176" x1="64" />
+ <line x2="64" y1="-80" y2="-80" x1="144" />
+ <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
+ <line x2="64" y1="-64" y2="-192" x1="64" />
+ </blockdef>
<block symbolname="and2" name="XLXI_2">
<blockpin signalname="XLXN_1" name="I0" />
<blockpin signalname="b2" name="I1" />
<blockpin signalname="XLXN_4" name="O" />
</block>
- <block symbolname="nand3" name="XLXI_3">
- <blockpin signalname="XLXN_5" name="I0" />
- <blockpin signalname="b1" name="I1" />
- <blockpin signalname="b2" name="I2" />
- <blockpin signalname="XLXN_3" name="O" />
- </block>
<block symbolname="or2" name="XLXI_4">
<blockpin signalname="XLXN_4" name="I0" />
<blockpin signalname="XLXN_3" name="I1" />
<blockpin signalname="result" name="O" />
</block>
- <block symbolname="inv" name="XLXI_5">
+ <block symbolname="inv" name="XLXI_9">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_15" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_10">
<blockpin signalname="b0" name="I" />
- <blockpin signalname="XLXN_5" name="O" />
+ <blockpin signalname="XLXN_16" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_11">
+ <blockpin signalname="b0" name="I0" />
+ <blockpin signalname="XLXN_28" name="I1" />
+ <blockpin signalname="XLXN_27" name="I2" />
+ <blockpin signalname="XLXN_3" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_12">
+ <blockpin signalname="b2" name="I" />
+ <blockpin signalname="XLXN_27" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_13">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_28" name="O" />
+ </block>
+ <block symbolname="or2" name="XLXI_14">
+ <blockpin signalname="XLXN_14" name="I0" />
+ <blockpin signalname="XLXN_12" name="I1" />
+ <blockpin signalname="XLXN_1" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_15">
+ <blockpin signalname="b0" name="I0" />
+ <blockpin signalname="b1" name="I1" />
+ <blockpin signalname="XLXN_12" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_16">
+ <blockpin signalname="XLXN_16" name="I0" />
+ <blockpin signalname="XLXN_15" name="I1" />
+ <blockpin signalname="XLXN_14" name="O" />
</block>
</netlist>
<sheet sheetnum="1" width="3520" height="2720">
- <instance x="1648" y="1728" name="XLXI_1" orien="R0" />
<branch name="XLXN_1">
<wire x2="1936" y1="1632" y2="1632" x1="1904" />
</branch>
<instance x="1936" y="1696" name="XLXI_2" orien="R0" />
- <instance x="1808" y="1328" name="XLXI_3" orien="R0" />
<instance x="2288" y="1472" name="XLXI_4" orien="R0" />
<branch name="XLXN_3">
<wire x2="2176" y1="1200" y2="1200" x1="2064" />
@@ -120,34 +130,16 @@
<wire x2="2240" y1="1408" y2="1600" x1="2240" />
<wire x2="2288" y1="1408" y2="1408" x1="2240" />
</branch>
- <branch name="XLXN_5">
- <wire x2="1808" y1="1264" y2="1264" x1="1776" />
- </branch>
- <instance x="1552" y="1296" name="XLXI_5" orien="R0" />
- <branch name="b2">
- <wire x2="1808" y1="1136" y2="1136" x1="1776" />
- </branch>
- <iomarker fontsize="28" x="1776" y="1136" name="b2" orien="R180" />
- <branch name="b1">
- <wire x2="1808" y1="1200" y2="1200" x1="1776" />
- </branch>
- <iomarker fontsize="28" x="1776" y="1200" name="b1" orien="R180" />
<branch name="b0">
<wire x2="1552" y1="1264" y2="1264" x1="1520" />
+ <wire x2="1776" y1="1264" y2="1264" x1="1552" />
+ <wire x2="1808" y1="1264" y2="1264" x1="1776" />
</branch>
<iomarker fontsize="28" x="1520" y="1264" name="b0" orien="R180" />
<branch name="b2">
<wire x2="1936" y1="1568" y2="1568" x1="1904" />
</branch>
<iomarker fontsize="28" x="1904" y="1568" name="b2" orien="R180" />
- <branch name="b1">
- <wire x2="1648" y1="1600" y2="1600" x1="1616" />
- </branch>
- <iomarker fontsize="28" x="1616" y="1600" name="b1" orien="R180" />
- <branch name="b0">
- <wire x2="1648" y1="1664" y2="1664" x1="1616" />
- </branch>
- <iomarker fontsize="28" x="1616" y="1664" name="b0" orien="R180" />
<branch name="result">
<wire x2="2576" y1="1376" y2="1376" x1="2544" />
</branch>
@@ -156,5 +148,63 @@
<wire x2="2608" y1="1024" y2="1024" x1="1712" />
</branch>
<iomarker fontsize="28" x="1712" y="1024" name="b3" orien="R180" />
+ <branch name="XLXN_12">
+ <wire x2="1648" y1="1600" y2="1600" x1="1616" />
+ </branch>
+ <branch name="XLXN_14">
+ <wire x2="1648" y1="1664" y2="1664" x1="1568" />
+ <wire x2="1568" y1="1664" y2="1696" x1="1568" />
+ <wire x2="1712" y1="1696" y2="1696" x1="1568" />
+ <wire x2="1712" y1="1696" y2="1760" x1="1712" />
+ <wire x2="1712" y1="1760" y2="1760" x1="1648" />
+ </branch>
+ <branch name="XLXN_15">
+ <wire x2="1392" y1="1728" y2="1728" x1="1360" />
+ </branch>
+ <instance x="1136" y="1760" name="XLXI_9" orien="R0" />
+ <branch name="XLXN_16">
+ <wire x2="1392" y1="1792" y2="1792" x1="1360" />
+ </branch>
+ <instance x="1136" y="1824" name="XLXI_10" orien="R0" />
+ <branch name="b0">
+ <wire x2="1120" y1="1552" y2="1552" x1="1088" />
+ <wire x2="1120" y1="1552" y2="1632" x1="1120" />
+ <wire x2="1360" y1="1632" y2="1632" x1="1120" />
+ <wire x2="1120" y1="1632" y2="1792" x1="1120" />
+ <wire x2="1136" y1="1792" y2="1792" x1="1120" />
+ </branch>
+ <iomarker fontsize="28" x="1088" y="1488" name="b1" orien="R180" />
+ <iomarker fontsize="28" x="1088" y="1552" name="b0" orien="R180" />
+ <branch name="b1">
+ <wire x2="1136" y1="1600" y2="1600" x1="1040" />
+ <wire x2="1040" y1="1600" y2="1728" x1="1040" />
+ <wire x2="1136" y1="1728" y2="1728" x1="1040" />
+ <wire x2="1120" y1="1488" y2="1488" x1="1088" />
+ <wire x2="1136" y1="1488" y2="1488" x1="1120" />
+ <wire x2="1344" y1="1488" y2="1488" x1="1136" />
+ <wire x2="1344" y1="1488" y2="1568" x1="1344" />
+ <wire x2="1360" y1="1568" y2="1568" x1="1344" />
+ <wire x2="1136" y1="1488" y2="1600" x1="1136" />
+ </branch>
+ <instance x="1808" y="1328" name="XLXI_11" orien="R0" />
+ <branch name="XLXN_27">
+ <wire x2="1808" y1="1136" y2="1136" x1="1776" />
+ </branch>
+ <instance x="1552" y="1168" name="XLXI_12" orien="R0" />
+ <branch name="XLXN_28">
+ <wire x2="1808" y1="1200" y2="1200" x1="1776" />
+ </branch>
+ <instance x="1552" y="1232" name="XLXI_13" orien="R0" />
+ <branch name="b2">
+ <wire x2="1552" y1="1136" y2="1136" x1="1520" />
+ </branch>
+ <branch name="b1">
+ <wire x2="1552" y1="1200" y2="1200" x1="1520" />
+ </branch>
+ <iomarker fontsize="28" x="1520" y="1200" name="b1" orien="R180" />
+ <iomarker fontsize="28" x="1520" y="1136" name="b2" orien="R180" />
+ <instance x="1648" y="1728" name="XLXI_14" orien="R0" />
+ <instance x="1360" y="1696" name="XLXI_15" orien="R0" />
+ <instance x="1392" y="1856" name="XLXI_16" orien="R0" />
</sheet>
</drawing> \ No newline at end of file
diff --git a/Modulo_0.sym b/Modulo_0.sym
index ed2353e..c12e4f0 100755
--- a/Modulo_0.sym
+++ b/Modulo_0.sym
@@ -1,24 +1,24 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Modulo_0">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:29:48</timestamp>
- <pin polarity="Input" x="0" y="-224" name="b2" />
- <pin polarity="Input" x="0" y="-160" name="b1" />
- <pin polarity="Input" x="0" y="-96" name="b0" />
- <pin polarity="Input" x="0" y="-32" name="b3" />
- <pin polarity="Output" x="384" y="-224" name="result" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b2" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b0" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b3" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Modulo_0">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-17T0:51:57</timestamp>
+ <pin polarity="Input" x="0" y="-224" name="b2" />
+ <pin polarity="Input" x="0" y="-160" name="b1" />
+ <pin polarity="Input" x="0" y="-96" name="b0" />
+ <pin polarity="Input" x="0" y="-32" name="b3" />
+ <pin polarity="Output" x="384" y="-224" name="result" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b2" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b0" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b3" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </graph>
+</symbol>
diff --git a/Modulo_0.vf b/Modulo_0.vf
index 0b8eb41..c0c56d3 100755
--- a/Modulo_0.vf
+++ b/Modulo_0.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Modulo_0.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:07
+// /___/ /\ Timestamp : 02/16/2012 19:52:31
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Modulo_0.vf" -w "X:/My Documents/ec311/lab1/Modulo_0.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Modulo_0.vf" -w "X:/My Documents/ec311/ec311-lab1/Modulo_0.sch"
//Design Name: Modulo_0
//Device: spartan6
//Purpose:
@@ -35,21 +35,38 @@ module Modulo_0(b0,
wire XLXN_1;
wire XLXN_3;
wire XLXN_4;
- wire XLXN_5;
+ wire XLXN_12;
+ wire XLXN_14;
+ wire XLXN_15;
+ wire XLXN_16;
+ wire XLXN_27;
+ wire XLXN_28;
- XNOR2 XLXI_1 (.I0(b0),
- .I1(b1),
- .O(XLXN_1));
AND2 XLXI_2 (.I0(XLXN_1),
.I1(b2),
.O(XLXN_4));
- NAND3 XLXI_3 (.I0(XLXN_5),
- .I1(b1),
- .I2(b2),
- .O(XLXN_3));
OR2 XLXI_4 (.I0(XLXN_4),
.I1(XLXN_3),
.O(result));
- INV XLXI_5 (.I(b0),
- .O(XLXN_5));
+ INV XLXI_9 (.I(b1),
+ .O(XLXN_15));
+ INV XLXI_10 (.I(b0),
+ .O(XLXN_16));
+ AND3 XLXI_11 (.I0(b0),
+ .I1(XLXN_28),
+ .I2(XLXN_27),
+ .O(XLXN_3));
+ INV XLXI_12 (.I(b2),
+ .O(XLXN_27));
+ INV XLXI_13 (.I(b1),
+ .O(XLXN_28));
+ OR2 XLXI_14 (.I0(XLXN_14),
+ .I1(XLXN_12),
+ .O(XLXN_1));
+ AND2 XLXI_15 (.I0(b0),
+ .I1(b1),
+ .O(XLXN_12));
+ AND2 XLXI_16 (.I0(XLXN_16),
+ .I1(XLXN_15),
+ .O(XLXN_14));
endmodule
diff --git a/Modulo_1.cmd_log b/Modulo_1.cmd_log
index 26beaf7..f539342 100755
--- a/Modulo_1.cmd_log
+++ b/Modulo_1.cmd_log
@@ -1,2 +1,5 @@
sch2sym -intstyle ise -family spartan6 -refsym Modulo_1 {X:/My Documents/ec311/lab1/Modulo_1.sch} {X:/My Documents/ec311/lab1/Modulo_1.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_1 /home/michael/Documents/School/EC311/lab1/Modulo_1.sch /home/michael/Documents/School/EC311/lab1/Modulo_1.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_1 {X:/My Documents/ec311/ec311-lab1/Modulo_1.sch} {X:/My Documents/ec311/ec311-lab1/Modulo_1.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_1 {X:/My Documents/ec311/ec311-lab1/Modulo_1.sch} {X:/My Documents/ec311/ec311-lab1/Modulo_1.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_1 {X:/My Documents/ec311/ec311-lab1/Modulo_1.sch} {X:/My Documents/ec311/ec311-lab1/Modulo_1.sym}
diff --git a/Modulo_1.jhd b/Modulo_1.jhd
index 435b81c..189c159 100755
--- a/Modulo_1.jhd
+++ b/Modulo_1.jhd
@@ -1 +1 @@
-MODULE Modulo_1
+MODULE Modulo_1
diff --git a/Modulo_1.sch b/Modulo_1.sch
index dd65a0b..9a67697 100755
--- a/Modulo_1.sch
+++ b/Modulo_1.sch
@@ -1,295 +1,300 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="XLXN_1" />
- <signal name="XLXN_2" />
- <signal name="XLXN_3" />
- <signal name="XLXN_5" />
- <signal name="XLXN_6" />
- <signal name="XLXN_7" />
- <signal name="XLXN_21" />
- <signal name="b3" />
- <signal name="b2" />
- <signal name="b1" />
- <signal name="b0" />
- <signal name="result" />
- <signal name="XLXN_18" />
- <signal name="XLXN_22" />
- <signal name="XLXN_31" />
- <signal name="XLXN_19" />
- <signal name="XLXN_23" />
- <signal name="XLXN_24" />
- <signal name="XLXN_46" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b2" />
- <port polarity="Input" name="b1" />
- <port polarity="Input" name="b0" />
- <port polarity="Output" name="result" />
- <blockdef name="and3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="192" y1="-128" y2="-128" x1="256" />
- <line x2="144" y1="-176" y2="-176" x1="64" />
- <line x2="64" y1="-80" y2="-80" x1="144" />
- <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
- <line x2="64" y1="-64" y2="-192" x1="64" />
- </blockdef>
- <blockdef name="or3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="48" y1="-64" y2="-64" x1="0" />
- <line x2="72" y1="-128" y2="-128" x1="0" />
- <line x2="48" y1="-192" y2="-192" x1="0" />
- <line x2="192" y1="-128" y2="-128" x1="256" />
- <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
- <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
- <line x2="48" y1="-64" y2="-80" x1="48" />
- <line x2="48" y1="-192" y2="-176" x1="48" />
- <line x2="48" y1="-80" y2="-80" x1="112" />
- <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
- <line x2="48" y1="-176" y2="-176" x1="112" />
- </blockdef>
- <blockdef name="inv">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-32" y2="-32" x1="0" />
- <line x2="160" y1="-32" y2="-32" x1="224" />
- <line x2="128" y1="-64" y2="-32" x1="64" />
- <line x2="64" y1="-32" y2="0" x1="128" />
- <line x2="64" y1="0" y2="-64" x1="64" />
- <circle r="16" cx="144" cy="-32" />
- </blockdef>
- <blockdef name="and4">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-112" y2="-112" x1="144" />
- <arc ex="144" ey="-208" sx="144" sy="-112" r="48" cx="144" cy="-160" />
- <line x2="144" y1="-208" y2="-208" x1="64" />
- <line x2="64" y1="-64" y2="-256" x1="64" />
- <line x2="192" y1="-160" y2="-160" x1="256" />
- <line x2="64" y1="-256" y2="-256" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-64" y2="-64" x1="0" />
- </blockdef>
- <blockdef name="nand4">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="64" y1="-256" y2="-256" x1="0" />
- <line x2="216" y1="-160" y2="-160" x1="256" />
- <circle r="12" cx="204" cy="-160" />
- <line x2="64" y1="-64" y2="-256" x1="64" />
- <line x2="144" y1="-208" y2="-208" x1="64" />
- <arc ex="144" ey="-208" sx="144" sy="-112" r="48" cx="144" cy="-160" />
- <line x2="64" y1="-112" y2="-112" x1="144" />
- </blockdef>
- <block symbolname="and3" name="XLXI_1">
- <blockpin signalname="b1" name="I0" />
- <blockpin signalname="b2" name="I1" />
- <blockpin signalname="b3" name="I2" />
- <blockpin signalname="XLXN_1" name="O" />
- </block>
- <block symbolname="and3" name="XLXI_2">
- <blockpin signalname="XLXN_6" name="I0" />
- <blockpin signalname="XLXN_5" name="I1" />
- <blockpin signalname="b3" name="I2" />
- <blockpin signalname="XLXN_2" name="O" />
- </block>
- <block symbolname="and3" name="XLXI_3">
- <blockpin signalname="b0" name="I0" />
- <blockpin signalname="XLXN_7" name="I1" />
- <blockpin signalname="b3" name="I2" />
- <blockpin signalname="XLXN_3" name="O" />
- </block>
- <block symbolname="or3" name="XLXI_4">
- <blockpin signalname="XLXN_3" name="I0" />
- <blockpin signalname="XLXN_2" name="I1" />
- <blockpin signalname="XLXN_1" name="I2" />
- <blockpin signalname="XLXN_21" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_5">
- <blockpin signalname="b1" name="I" />
- <blockpin signalname="XLXN_5" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_6">
- <blockpin signalname="b0" name="I" />
- <blockpin signalname="XLXN_6" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_7">
- <blockpin signalname="b2" name="I" />
- <blockpin signalname="XLXN_7" name="O" />
- </block>
- <block symbolname="or3" name="XLXI_17">
- <blockpin signalname="XLXN_46" name="I0" />
- <blockpin signalname="XLXN_31" name="I1" />
- <blockpin signalname="XLXN_21" name="I2" />
- <blockpin signalname="result" name="O" />
- </block>
- <block symbolname="nand4" name="XLXI_13">
- <blockpin signalname="b0" name="I0" />
- <blockpin signalname="XLXN_22" name="I1" />
- <blockpin signalname="b2" name="I2" />
- <blockpin signalname="b3" name="I3" />
- <blockpin signalname="XLXN_31" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_14">
- <blockpin signalname="b1" name="I" />
- <blockpin signalname="XLXN_22" name="O" />
- </block>
- <block symbolname="and4" name="XLXI_11">
- <blockpin signalname="b0" name="I0" />
- <blockpin signalname="XLXN_24" name="I1" />
- <blockpin signalname="b2" name="I2" />
- <blockpin signalname="XLXN_23" name="I3" />
- <blockpin signalname="XLXN_46" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_15">
- <blockpin signalname="b3" name="I" />
- <blockpin signalname="XLXN_23" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_16">
- <blockpin signalname="b1" name="I" />
- <blockpin signalname="XLXN_24" name="O" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <branch name="XLXN_1">
- <wire x2="1888" y1="928" y2="928" x1="1696" />
- <wire x2="1888" y1="928" y2="1104" x1="1888" />
- </branch>
- <branch name="XLXN_2">
- <wire x2="1888" y1="1168" y2="1168" x1="1696" />
- </branch>
- <branch name="XLXN_3">
- <wire x2="1888" y1="1392" y2="1392" x1="1696" />
- <wire x2="1888" y1="1232" y2="1392" x1="1888" />
- </branch>
- <branch name="XLXN_5">
- <wire x2="1440" y1="1168" y2="1168" x1="1408" />
- </branch>
- <branch name="XLXN_6">
- <wire x2="1440" y1="1232" y2="1232" x1="1408" />
- </branch>
- <branch name="XLXN_7">
- <wire x2="1440" y1="1392" y2="1392" x1="1408" />
- </branch>
- <instance x="1440" y="1056" name="XLXI_1" orien="R0" />
- <instance x="1440" y="1296" name="XLXI_2" orien="R0" />
- <instance x="1440" y="1520" name="XLXI_3" orien="R0" />
- <instance x="1888" y="1296" name="XLXI_4" orien="R0" />
- <instance x="1184" y="1200" name="XLXI_5" orien="R0" />
- <instance x="1184" y="1264" name="XLXI_6" orien="R0" />
- <branch name="b2">
- <wire x2="1184" y1="1392" y2="1392" x1="1168" />
- </branch>
- <instance x="1184" y="1424" name="XLXI_7" orien="R0" />
- <branch name="b0">
- <wire x2="1440" y1="1456" y2="1456" x1="1424" />
- </branch>
- <branch name="XLXN_21">
- <wire x2="2272" y1="1168" y2="1168" x1="2144" />
- <wire x2="2272" y1="1168" y2="1344" x1="2272" />
- <wire x2="2400" y1="1344" y2="1344" x1="2272" />
- </branch>
- <branch name="b3">
- <wire x2="1440" y1="864" y2="864" x1="1408" />
- </branch>
- <iomarker fontsize="28" x="1408" y="864" name="b3" orien="R180" />
- <branch name="b2">
- <wire x2="1440" y1="928" y2="928" x1="1408" />
- </branch>
- <iomarker fontsize="28" x="1408" y="928" name="b2" orien="R180" />
- <branch name="b1">
- <wire x2="1440" y1="992" y2="992" x1="1408" />
- </branch>
- <iomarker fontsize="28" x="1408" y="992" name="b1" orien="R180" />
- <branch name="b3">
- <wire x2="1440" y1="1104" y2="1104" x1="1408" />
- </branch>
- <iomarker fontsize="28" x="1408" y="1104" name="b3" orien="R180" />
- <branch name="b1">
- <wire x2="1184" y1="1168" y2="1168" x1="1152" />
- </branch>
- <iomarker fontsize="28" x="1152" y="1168" name="b1" orien="R180" />
- <branch name="b0">
- <wire x2="1184" y1="1232" y2="1232" x1="1152" />
- </branch>
- <iomarker fontsize="28" x="1152" y="1232" name="b0" orien="R180" />
- <branch name="b3">
- <wire x2="1440" y1="1328" y2="1328" x1="1408" />
- </branch>
- <iomarker fontsize="28" x="1408" y="1328" name="b3" orien="R180" />
- <iomarker fontsize="28" x="1168" y="1392" name="b2" orien="R180" />
- <iomarker fontsize="28" x="1424" y="1456" name="b0" orien="R180" />
- <branch name="result">
- <wire x2="2688" y1="1408" y2="1408" x1="2656" />
- </branch>
- <iomarker fontsize="28" x="2688" y="1408" name="result" orien="R0" />
- <instance x="2400" y="1536" name="XLXI_17" orien="R0" />
- <branch name="XLXN_22">
- <wire x2="1824" y1="1632" y2="1632" x1="1792" />
- </branch>
- <branch name="b3">
- <wire x2="1824" y1="1504" y2="1504" x1="1792" />
- </branch>
- <branch name="b2">
- <wire x2="1824" y1="1568" y2="1568" x1="1792" />
- </branch>
- <branch name="b1">
- <wire x2="1568" y1="1632" y2="1632" x1="1536" />
- </branch>
- <branch name="b0">
- <wire x2="1824" y1="1696" y2="1696" x1="1792" />
- </branch>
- <instance x="1824" y="1760" name="XLXI_13" orien="R0" />
- <instance x="1568" y="1664" name="XLXI_14" orien="R0" />
- <iomarker fontsize="28" x="1792" y="1504" name="b3" orien="R180" />
- <iomarker fontsize="28" x="1792" y="1568" name="b2" orien="R180" />
- <iomarker fontsize="28" x="1536" y="1632" name="b1" orien="R180" />
- <iomarker fontsize="28" x="1792" y="1696" name="b0" orien="R180" />
- <branch name="XLXN_31">
- <wire x2="2240" y1="1600" y2="1600" x1="2080" />
- <wire x2="2400" y1="1408" y2="1408" x1="2240" />
- <wire x2="2240" y1="1408" y2="1600" x1="2240" />
- </branch>
- <branch name="XLXN_23">
- <wire x2="2016" y1="1856" y2="1856" x1="1984" />
- </branch>
- <branch name="XLXN_24">
- <wire x2="2016" y1="1984" y2="1984" x1="1984" />
- </branch>
- <branch name="b3">
- <wire x2="1760" y1="1856" y2="1856" x1="1728" />
- </branch>
- <branch name="b2">
- <wire x2="2016" y1="1920" y2="1920" x1="1984" />
- </branch>
- <branch name="b1">
- <wire x2="1760" y1="1984" y2="1984" x1="1728" />
- </branch>
- <branch name="b0">
- <wire x2="2016" y1="2048" y2="2048" x1="1984" />
- </branch>
- <instance x="2016" y="2112" name="XLXI_11" orien="R0" />
- <instance x="1760" y="1888" name="XLXI_15" orien="R0" />
- <instance x="1760" y="2016" name="XLXI_16" orien="R0" />
- <iomarker fontsize="28" x="1728" y="1856" name="b3" orien="R180" />
- <iomarker fontsize="28" x="1984" y="1920" name="b2" orien="R180" />
- <iomarker fontsize="28" x="1728" y="1984" name="b1" orien="R180" />
- <iomarker fontsize="28" x="1984" y="2048" name="b0" orien="R180" />
- <branch name="XLXN_46">
- <wire x2="2336" y1="1952" y2="1952" x1="2272" />
- <wire x2="2336" y1="1808" y2="1952" x1="2336" />
- <wire x2="2416" y1="1808" y2="1808" x1="2336" />
- <wire x2="2400" y1="1472" y2="1632" x1="2400" />
- <wire x2="2416" y1="1632" y2="1632" x1="2400" />
- <wire x2="2416" y1="1632" y2="1808" x1="2416" />
- </branch>
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="XLXN_1" />
+ <signal name="XLXN_2" />
+ <signal name="XLXN_3" />
+ <signal name="XLXN_5" />
+ <signal name="XLXN_6" />
+ <signal name="XLXN_7" />
+ <signal name="b3" />
+ <signal name="b2" />
+ <signal name="b1" />
+ <signal name="b0" />
+ <signal name="result" />
+ <signal name="XLXN_23" />
+ <signal name="XLXN_24" />
+ <signal name="XLXN_50" />
+ <signal name="XLXN_51" />
+ <signal name="XLXN_54" />
+ <signal name="XLXN_55" />
+ <signal name="XLXN_56" />
+ <signal name="XLXN_57" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b2" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Output" name="result" />
+ <blockdef name="and3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <line x2="144" y1="-176" y2="-176" x1="64" />
+ <line x2="64" y1="-80" y2="-80" x1="144" />
+ <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
+ <line x2="64" y1="-64" y2="-192" x1="64" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <blockdef name="and4">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-112" y2="-112" x1="144" />
+ <arc ex="144" ey="-208" sx="144" sy="-112" r="48" cx="144" cy="-160" />
+ <line x2="144" y1="-208" y2="-208" x1="64" />
+ <line x2="64" y1="-64" y2="-256" x1="64" />
+ <line x2="192" y1="-160" y2="-160" x1="256" />
+ <line x2="64" y1="-256" y2="-256" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ </blockdef>
+ <blockdef name="or5">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="48" y1="-128" y2="-128" x1="0" />
+ <line x2="72" y1="-192" y2="-192" x1="0" />
+ <line x2="48" y1="-256" y2="-256" x1="0" />
+ <line x2="48" y1="-320" y2="-320" x1="0" />
+ <line x2="192" y1="-192" y2="-192" x1="256" />
+ <arc ex="192" ey="-192" sx="112" sy="-144" r="88" cx="116" cy="-232" />
+ <line x2="48" y1="-240" y2="-240" x1="112" />
+ <line x2="48" y1="-144" y2="-144" x1="112" />
+ <line x2="48" y1="-64" y2="-144" x1="48" />
+ <line x2="48" y1="-320" y2="-240" x1="48" />
+ <arc ex="112" ey="-240" sx="192" sy="-192" r="88" cx="116" cy="-152" />
+ <arc ex="48" ey="-240" sx="48" sy="-144" r="56" cx="16" cy="-192" />
+ </blockdef>
+ <block symbolname="and3" name="XLXI_1">
+ <blockpin signalname="b1" name="I0" />
+ <blockpin signalname="b2" name="I1" />
+ <blockpin signalname="b3" name="I2" />
+ <blockpin signalname="XLXN_55" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_2">
+ <blockpin signalname="XLXN_6" name="I0" />
+ <blockpin signalname="XLXN_5" name="I1" />
+ <blockpin signalname="b3" name="I2" />
+ <blockpin signalname="XLXN_2" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_3">
+ <blockpin signalname="b0" name="I0" />
+ <blockpin signalname="XLXN_7" name="I1" />
+ <blockpin signalname="b3" name="I2" />
+ <blockpin signalname="XLXN_3" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_5">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_5" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_6">
+ <blockpin signalname="b0" name="I" />
+ <blockpin signalname="XLXN_6" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_7">
+ <blockpin signalname="b2" name="I" />
+ <blockpin signalname="XLXN_7" name="O" />
+ </block>
+ <block symbolname="and4" name="XLXI_11">
+ <blockpin signalname="b0" name="I0" />
+ <blockpin signalname="XLXN_24" name="I1" />
+ <blockpin signalname="b2" name="I2" />
+ <blockpin signalname="XLXN_23" name="I3" />
+ <blockpin signalname="XLXN_57" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_15">
+ <blockpin signalname="b3" name="I" />
+ <blockpin signalname="XLXN_23" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_16">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_24" name="O" />
+ </block>
+ <block symbolname="and4" name="XLXI_18">
+ <blockpin signalname="XLXN_54" name="I0" />
+ <blockpin signalname="b1" name="I1" />
+ <blockpin signalname="XLXN_50" name="I2" />
+ <blockpin signalname="XLXN_51" name="I3" />
+ <blockpin signalname="XLXN_56" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_19">
+ <blockpin signalname="b2" name="I" />
+ <blockpin signalname="XLXN_50" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_20">
+ <blockpin signalname="b3" name="I" />
+ <blockpin signalname="XLXN_51" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_21">
+ <blockpin signalname="b0" name="I" />
+ <blockpin signalname="XLXN_54" name="O" />
+ </block>
+ <block symbolname="or5" name="XLXI_22">
+ <blockpin signalname="XLXN_57" name="I0" />
+ <blockpin signalname="XLXN_56" name="I1" />
+ <blockpin signalname="XLXN_3" name="I2" />
+ <blockpin signalname="XLXN_2" name="I3" />
+ <blockpin signalname="XLXN_55" name="I4" />
+ <blockpin signalname="result" name="O" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <branch name="XLXN_2">
+ <wire x2="1888" y1="1168" y2="1168" x1="1696" />
+ <wire x2="2144" y1="1168" y2="1168" x1="1888" />
+ <wire x2="2144" y1="1168" y2="1344" x1="2144" />
+ <wire x2="2400" y1="1344" y2="1344" x1="2144" />
+ </branch>
+ <branch name="XLXN_3">
+ <wire x2="1888" y1="1392" y2="1392" x1="1696" />
+ <wire x2="1888" y1="1232" y2="1248" x1="1888" />
+ <wire x2="1888" y1="1248" y2="1392" x1="1888" />
+ <wire x2="2128" y1="1232" y2="1232" x1="1888" />
+ <wire x2="2128" y1="1232" y2="1408" x1="2128" />
+ <wire x2="2400" y1="1408" y2="1408" x1="2128" />
+ </branch>
+ <branch name="XLXN_5">
+ <wire x2="1440" y1="1168" y2="1168" x1="1408" />
+ </branch>
+ <branch name="XLXN_6">
+ <wire x2="1440" y1="1232" y2="1232" x1="1408" />
+ </branch>
+ <branch name="XLXN_7">
+ <wire x2="1424" y1="1392" y2="1392" x1="1408" />
+ <wire x2="1440" y1="1392" y2="1392" x1="1424" />
+ </branch>
+ <instance x="1440" y="1056" name="XLXI_1" orien="R0" />
+ <instance x="1440" y="1296" name="XLXI_2" orien="R0" />
+ <instance x="1440" y="1520" name="XLXI_3" orien="R0" />
+ <instance x="1184" y="1200" name="XLXI_5" orien="R0" />
+ <instance x="1184" y="1264" name="XLXI_6" orien="R0" />
+ <branch name="b2">
+ <wire x2="1184" y1="1392" y2="1392" x1="1168" />
+ </branch>
+ <instance x="1184" y="1424" name="XLXI_7" orien="R0" />
+ <branch name="b0">
+ <wire x2="1440" y1="1456" y2="1456" x1="1424" />
+ </branch>
+ <branch name="b3">
+ <wire x2="1440" y1="864" y2="864" x1="1408" />
+ </branch>
+ <iomarker fontsize="28" x="1408" y="864" name="b3" orien="R180" />
+ <branch name="b2">
+ <wire x2="1440" y1="928" y2="928" x1="1408" />
+ </branch>
+ <iomarker fontsize="28" x="1408" y="928" name="b2" orien="R180" />
+ <branch name="b1">
+ <wire x2="1440" y1="992" y2="992" x1="1408" />
+ </branch>
+ <iomarker fontsize="28" x="1408" y="992" name="b1" orien="R180" />
+ <branch name="b3">
+ <wire x2="1440" y1="1104" y2="1104" x1="1408" />
+ </branch>
+ <iomarker fontsize="28" x="1408" y="1104" name="b3" orien="R180" />
+ <branch name="b1">
+ <wire x2="1184" y1="1168" y2="1168" x1="1152" />
+ </branch>
+ <iomarker fontsize="28" x="1152" y="1168" name="b1" orien="R180" />
+ <branch name="b0">
+ <wire x2="1184" y1="1232" y2="1232" x1="1152" />
+ </branch>
+ <iomarker fontsize="28" x="1152" y="1232" name="b0" orien="R180" />
+ <branch name="b3">
+ <wire x2="1424" y1="1328" y2="1328" x1="1408" />
+ <wire x2="1440" y1="1328" y2="1328" x1="1424" />
+ </branch>
+ <iomarker fontsize="28" x="1408" y="1328" name="b3" orien="R180" />
+ <iomarker fontsize="28" x="1168" y="1392" name="b2" orien="R180" />
+ <iomarker fontsize="28" x="1424" y="1456" name="b0" orien="R180" />
+ <branch name="result">
+ <wire x2="2688" y1="1408" y2="1408" x1="2656" />
+ </branch>
+ <iomarker fontsize="28" x="2688" y="1408" name="result" orien="R0" />
+ <branch name="b1">
+ <wire x2="1552" y1="1632" y2="1632" x1="1536" />
+ <wire x2="1568" y1="1632" y2="1632" x1="1552" />
+ <wire x2="1792" y1="1632" y2="1632" x1="1568" />
+ <wire x2="1824" y1="1632" y2="1632" x1="1792" />
+ </branch>
+ <iomarker fontsize="28" x="1536" y="1632" name="b1" orien="R180" />
+ <branch name="XLXN_23">
+ <wire x2="2016" y1="1856" y2="1856" x1="1984" />
+ </branch>
+ <branch name="XLXN_24">
+ <wire x2="2016" y1="1984" y2="1984" x1="1984" />
+ </branch>
+ <branch name="b3">
+ <wire x2="1760" y1="1856" y2="1856" x1="1728" />
+ </branch>
+ <branch name="b2">
+ <wire x2="2016" y1="1920" y2="1920" x1="1984" />
+ </branch>
+ <branch name="b1">
+ <wire x2="1760" y1="1984" y2="1984" x1="1728" />
+ </branch>
+ <branch name="b0">
+ <wire x2="2016" y1="2048" y2="2048" x1="1984" />
+ </branch>
+ <instance x="2016" y="2112" name="XLXI_11" orien="R0" />
+ <instance x="1760" y="1888" name="XLXI_15" orien="R0" />
+ <instance x="1760" y="2016" name="XLXI_16" orien="R0" />
+ <iomarker fontsize="28" x="1728" y="1856" name="b3" orien="R180" />
+ <iomarker fontsize="28" x="1984" y="1920" name="b2" orien="R180" />
+ <iomarker fontsize="28" x="1728" y="1984" name="b1" orien="R180" />
+ <iomarker fontsize="28" x="1984" y="2048" name="b0" orien="R180" />
+ <instance x="1824" y="1760" name="XLXI_18" orien="R0" />
+ <branch name="XLXN_50">
+ <wire x2="1824" y1="1568" y2="1568" x1="1792" />
+ </branch>
+ <instance x="1568" y="1600" name="XLXI_19" orien="R0" />
+ <branch name="XLXN_51">
+ <wire x2="1824" y1="1504" y2="1504" x1="1792" />
+ </branch>
+ <instance x="1568" y="1536" name="XLXI_20" orien="R0" />
+ <branch name="b3">
+ <wire x2="1568" y1="1504" y2="1504" x1="1536" />
+ </branch>
+ <iomarker fontsize="28" x="1536" y="1504" name="b3" orien="R180" />
+ <branch name="b2">
+ <wire x2="1568" y1="1568" y2="1568" x1="1536" />
+ </branch>
+ <iomarker fontsize="28" x="1536" y="1568" name="b2" orien="R180" />
+ <branch name="XLXN_54">
+ <wire x2="1824" y1="1696" y2="1696" x1="1792" />
+ </branch>
+ <instance x="1568" y="1728" name="XLXI_21" orien="R0" />
+ <branch name="b0">
+ <wire x2="1568" y1="1696" y2="1696" x1="1536" />
+ </branch>
+ <iomarker fontsize="28" x="1536" y="1696" name="b0" orien="R180" />
+ <instance x="2400" y="1600" name="XLXI_22" orien="R0" />
+ <branch name="XLXN_55">
+ <wire x2="1888" y1="928" y2="928" x1="1696" />
+ <wire x2="1888" y1="928" y2="1104" x1="1888" />
+ <wire x2="2400" y1="1104" y2="1104" x1="1888" />
+ <wire x2="2400" y1="1104" y2="1280" x1="2400" />
+ </branch>
+ <branch name="XLXN_56">
+ <wire x2="2240" y1="1600" y2="1600" x1="2080" />
+ <wire x2="2240" y1="1472" y2="1600" x1="2240" />
+ <wire x2="2400" y1="1472" y2="1472" x1="2240" />
+ </branch>
+ <branch name="XLXN_57">
+ <wire x2="2400" y1="1952" y2="1952" x1="2272" />
+ <wire x2="2400" y1="1536" y2="1952" x1="2400" />
+ </branch>
+ </sheet>
</drawing> \ No newline at end of file
diff --git a/Modulo_1.sym b/Modulo_1.sym
index cff6acb..42ecca1 100755
--- a/Modulo_1.sym
+++ b/Modulo_1.sym
@@ -1,24 +1,24 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Modulo_1">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:29:44</timestamp>
- <pin polarity="Input" x="0" y="-224" name="b3" />
- <pin polarity="Input" x="0" y="-160" name="b2" />
- <pin polarity="Input" x="0" y="-96" name="b1" />
- <pin polarity="Input" x="0" y="-32" name="b0" />
- <pin polarity="Output" x="384" y="-224" name="result" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b3" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b2" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b1" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b0" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Modulo_1">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-17T0:43:13</timestamp>
+ <pin polarity="Input" x="0" y="-224" name="b3" />
+ <pin polarity="Input" x="0" y="-160" name="b2" />
+ <pin polarity="Input" x="0" y="-96" name="b1" />
+ <pin polarity="Input" x="0" y="-32" name="b0" />
+ <pin polarity="Output" x="384" y="-224" name="result" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b3" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b2" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b0" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </graph>
+</symbol>
diff --git a/Modulo_1.vf b/Modulo_1.vf
index 8232c5f..5f6247d 100755
--- a/Modulo_1.vf
+++ b/Modulo_1.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Modulo_1.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:10
+// /___/ /\ Timestamp : 02/16/2012 19:44:03
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Modulo_1.vf" -w "X:/My Documents/ec311/lab1/Modulo_1.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Modulo_1.vf" -w "X:/My Documents/ec311/ec311-lab1/Modulo_1.sch"
//Design Name: Modulo_1
//Device: spartan6
//Purpose:
@@ -32,24 +32,24 @@ module Modulo_1(b0,
input b3;
output result;
- wire XLXN_1;
wire XLXN_2;
wire XLXN_3;
wire XLXN_5;
wire XLXN_6;
wire XLXN_7;
- wire XLXN_18;
- wire XLXN_19;
- wire XLXN_20;
- wire XLXN_21;
- wire XLXN_22;
wire XLXN_23;
wire XLXN_24;
+ wire XLXN_50;
+ wire XLXN_51;
+ wire XLXN_54;
+ wire XLXN_55;
+ wire XLXN_56;
+ wire XLXN_57;
AND3 XLXI_1 (.I0(b1),
.I1(b2),
.I2(b3),
- .O(XLXN_1));
+ .O(XLXN_55));
AND3 XLXI_2 (.I0(XLXN_6),
.I1(XLXN_5),
.I2(b3),
@@ -58,36 +58,36 @@ module Modulo_1(b0,
.I1(XLXN_7),
.I2(b3),
.O(XLXN_3));
- OR3 XLXI_4 (.I0(XLXN_3),
- .I1(XLXN_2),
- .I2(XLXN_1),
- .O(XLXN_21));
INV XLXI_5 (.I(b1),
.O(XLXN_5));
INV XLXI_6 (.I(b0),
.O(XLXN_6));
INV XLXI_7 (.I(b2),
.O(XLXN_7));
- OR2 XLXI_9 (.I0(XLXN_20),
- .I1(XLXN_21),
- .O(result));
AND4 XLXI_11 (.I0(b0),
.I1(XLXN_24),
.I2(b2),
.I3(XLXN_23),
- .O(XLXN_19));
- OR2 XLXI_12 (.I0(XLXN_19),
- .I1(XLXN_18),
- .O(XLXN_20));
- NAND4 XLXI_13 (.I0(b0),
- .I1(XLXN_22),
- .I2(b2),
- .I3(b3),
- .O(XLXN_18));
- INV XLXI_14 (.I(b1),
- .O(XLXN_22));
+ .O(XLXN_57));
INV XLXI_15 (.I(b3),
.O(XLXN_23));
INV XLXI_16 (.I(b1),
.O(XLXN_24));
+ AND4 XLXI_18 (.I0(XLXN_54),
+ .I1(b1),
+ .I2(XLXN_50),
+ .I3(XLXN_51),
+ .O(XLXN_56));
+ INV XLXI_19 (.I(b2),
+ .O(XLXN_50));
+ INV XLXI_20 (.I(b3),
+ .O(XLXN_51));
+ INV XLXI_21 (.I(b0),
+ .O(XLXN_54));
+ OR5 XLXI_22 (.I0(XLXN_57),
+ .I1(XLXN_56),
+ .I2(XLXN_3),
+ .I3(XLXN_2),
+ .I4(XLXN_55),
+ .O(result));
endmodule
diff --git a/Modulo_3.cmd_log b/Modulo_3.cmd_log
index 0734386..bd30288 100755
--- a/Modulo_3.cmd_log
+++ b/Modulo_3.cmd_log
@@ -1,2 +1,4 @@
sch2sym -intstyle ise -family spartan6 -refsym Modulo_3 {X:/My Documents/ec311/lab1/Modulo_3.sch} {X:/My Documents/ec311/lab1/Modulo_3.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_3 /home/michael/Documents/School/EC311/lab1/Modulo_3.sch /home/michael/Documents/School/EC311/lab1/Modulo_3.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_3 {X:/My Documents/ec311/ec311-lab1/Modulo_3.sch} {X:/My Documents/ec311/ec311-lab1/Modulo_3.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Modulo_3 {X:/My Documents/ec311/ec311-lab1/Modulo_3.sch} {X:/My Documents/ec311/ec311-lab1/Modulo_3.sym}
diff --git a/Modulo_3.schlog b/Modulo_3.schlog
new file mode 100755
index 0000000..69e4688
--- /dev/null
+++ b/Modulo_3.schlog
@@ -0,0 +1,2 @@
+select figure at 1561 1090 8 -branches -sn=1
+select figure at 1554 1281 8 -branches -sn=1
diff --git a/Modulo_3.sym b/Modulo_3.sym
index de3738d..63f2cb1 100755
--- a/Modulo_3.sym
+++ b/Modulo_3.sym
@@ -1,24 +1,24 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Modulo_3">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:29:41</timestamp>
- <pin polarity="Input" x="0" y="-224" name="b3" />
- <pin polarity="Input" x="0" y="-160" name="b0" />
- <pin polarity="Input" x="0" y="-96" name="b1" />
- <pin polarity="Input" x="0" y="-32" name="b2" />
- <pin polarity="Output" x="384" y="-224" name="result" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b3" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b0" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b1" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b2" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Modulo_3">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-17T0:37:33</timestamp>
+ <pin polarity="Input" x="0" y="-224" name="b3" />
+ <pin polarity="Input" x="0" y="-160" name="b0" />
+ <pin polarity="Input" x="0" y="-96" name="b1" />
+ <pin polarity="Input" x="0" y="-32" name="b2" />
+ <pin polarity="Output" x="384" y="-224" name="result" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b3" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b0" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b2" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </graph>
+</symbol>
diff --git a/Modulo_3.vf b/Modulo_3.vf
index eef4bc0..50f1c0d 100755
--- a/Modulo_3.vf
+++ b/Modulo_3.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Modulo_3.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:10
+// /___/ /\ Timestamp : 02/16/2012 18:40:31
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Modulo_3.vf" -w "X:/My Documents/ec311/lab1/Modulo_3.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Modulo_3.vf" -w "X:/My Documents/ec311/ec311-lab1/Modulo_3.sch"
//Design Name: Modulo_3
//Device: spartan6
//Purpose:
diff --git a/Negate.cmd_log b/Negate.cmd_log
index f6426a6..28d7294 100755
--- a/Negate.cmd_log
+++ b/Negate.cmd_log
@@ -1,2 +1,6 @@
sch2sym -intstyle ise -family spartan6 -refsym Negate {X:/My Documents/ec311/lab1/Negate.sch} {X:/My Documents/ec311/lab1/Negate.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Negate /home/michael/Documents/School/EC311/lab1/Negate.sch /home/michael/Documents/School/EC311/lab1/Negate.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate {X:/My Documents/ec311/ec311-lab1/Negate.sch} {X:/My Documents/ec311/ec311-lab1/Negate.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate {X:/My Documents/ec311/ec311-lab1/Negate.sch} {X:/My Documents/ec311/ec311-lab1/Negate.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate {X:/My Documents/ec311/ec311-lab1/Negate.sch} {X:/My Documents/ec311/ec311-lab1/Negate.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate {X:/My Documents/ec311/ec311-lab1/Negate.sch} {X:/My Documents/ec311/ec311-lab1/Negate.sym}
diff --git a/Negate.jhd b/Negate.jhd
index 1fc293d..2436bdf 100755
--- a/Negate.jhd
+++ b/Negate.jhd
@@ -1,9 +1,9 @@
-MODULE Negate
- SUBMODULE Negate_0
- INSTANCE XLXI_8
- SUBMODULE Negate_1
- INSTANCE XLXI_9
- SUBMODULE Negate_2
- INSTANCE XLXI_10
- SUBMODULE Negate_3
- INSTANCE XLXI_12
+MODULE Negate
+ SUBMODULE Negate_0
+ INSTANCE XLXI_8
+ SUBMODULE Negate_1
+ INSTANCE XLXI_9
+ SUBMODULE Negate_2
+ INSTANCE XLXI_10
+ SUBMODULE Negate_3
+ INSTANCE XLXI_12
diff --git a/Negate.sch b/Negate.sch
index e61f5b1..5d0ac8c 100755
--- a/Negate.sch
+++ b/Negate.sch
@@ -1,170 +1,170 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="b0" />
- <signal name="b2" />
- <signal name="b3" />
- <signal name="b1" />
- <signal name="out2" />
- <signal name="out1" />
- <signal name="out0" />
- <signal name="out3" />
- <port polarity="Input" name="b0" />
- <port polarity="Input" name="b2" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b1" />
- <port polarity="Output" name="out2" />
- <port polarity="Output" name="out1" />
- <port polarity="Output" name="out0" />
- <port polarity="Output" name="out3" />
- <blockdef name="Negate_0">
- <timestamp>2012-2-16T0:44:2</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <blockdef name="Negate_1">
- <timestamp>2012-2-16T0:43:59</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <blockdef name="Negate_2">
- <timestamp>2012-2-16T0:43:56</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <blockdef name="Negate_3">
- <timestamp>2012-2-16T0:43:52</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <block symbolname="Negate_0" name="XLXI_8">
- <blockpin signalname="b0" name="b0" />
- <blockpin signalname="b1" name="b1" />
- <blockpin signalname="b2" name="b2" />
- <blockpin signalname="b3" name="b3" />
- <blockpin signalname="out0" name="result" />
- </block>
- <block symbolname="Negate_2" name="XLXI_10">
- <blockpin signalname="b2" name="b2" />
- <blockpin signalname="b3" name="b3" />
- <blockpin signalname="b0" name="b0" />
- <blockpin signalname="b1" name="b1" />
- <blockpin signalname="out2" name="result" />
- </block>
- <block symbolname="Negate_1" name="XLXI_9">
- <blockpin signalname="b0" name="b0" />
- <blockpin signalname="b1" name="b1" />
- <blockpin signalname="b2" name="b2" />
- <blockpin signalname="b3" name="b3" />
- <blockpin signalname="out1" name="result" />
- </block>
- <block symbolname="Negate_3" name="XLXI_12">
- <blockpin signalname="b3" name="b3" />
- <blockpin signalname="b2" name="b0" />
- <blockpin signalname="b1" name="b1" />
- <blockpin signalname="b0" name="b2" />
- <blockpin signalname="out3" name="result" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <instance x="1248" y="1216" name="XLXI_8" orien="R0">
- </instance>
- <instance x="1248" y="1888" name="XLXI_10" orien="R0">
- </instance>
- <branch name="b0">
- <wire x2="1232" y1="992" y2="992" x1="864" />
- <wire x2="1248" y1="992" y2="992" x1="1232" />
- <wire x2="1232" y1="992" y2="1328" x1="1232" />
- <wire x2="1248" y1="1328" y2="1328" x1="1232" />
- <wire x2="1232" y1="1328" y2="1664" x1="1232" />
- <wire x2="1248" y1="1664" y2="1664" x1="1232" />
- <wire x2="1232" y1="1664" y2="2256" x1="1232" />
- <wire x2="1248" y1="2256" y2="2256" x1="1232" />
- </branch>
- <branch name="b2">
- <wire x2="1200" y1="1136" y2="1136" x1="864" />
- <wire x2="1200" y1="1136" y2="1456" x1="1200" />
- <wire x2="1248" y1="1456" y2="1456" x1="1200" />
- <wire x2="1200" y1="1456" y2="1792" x1="1200" />
- <wire x2="1248" y1="1792" y2="1792" x1="1200" />
- <wire x2="1200" y1="1792" y2="2128" x1="1200" />
- <wire x2="1248" y1="2128" y2="2128" x1="1200" />
- <wire x2="1248" y1="1120" y2="1120" x1="1200" />
- <wire x2="1200" y1="1120" y2="1136" x1="1200" />
- </branch>
- <instance x="1248" y="1552" name="XLXI_9" orien="R0">
- </instance>
- <branch name="b1">
- <wire x2="1168" y1="1072" y2="1072" x1="864" />
- <wire x2="1168" y1="1072" y2="1392" x1="1168" />
- <wire x2="1248" y1="1392" y2="1392" x1="1168" />
- <wire x2="1168" y1="1392" y2="1728" x1="1168" />
- <wire x2="1248" y1="1728" y2="1728" x1="1168" />
- <wire x2="1168" y1="1728" y2="2192" x1="1168" />
- <wire x2="1248" y1="2192" y2="2192" x1="1168" />
- <wire x2="1248" y1="1056" y2="1056" x1="1168" />
- <wire x2="1168" y1="1056" y2="1072" x1="1168" />
- </branch>
- <iomarker fontsize="28" x="864" y="992" name="b0" orien="R180" />
- <iomarker fontsize="28" x="864" y="1072" name="b1" orien="R180" />
- <iomarker fontsize="28" x="864" y="1184" name="b3" orien="R180" />
- <iomarker fontsize="28" x="864" y="1136" name="b2" orien="R180" />
- <instance x="1248" y="2288" name="XLXI_12" orien="R0">
- </instance>
- <branch name="b3">
- <wire x2="1184" y1="1184" y2="1184" x1="864" />
- <wire x2="1248" y1="1184" y2="1184" x1="1184" />
- <wire x2="1184" y1="1184" y2="1520" x1="1184" />
- <wire x2="1248" y1="1520" y2="1520" x1="1184" />
- <wire x2="1184" y1="1520" y2="1856" x1="1184" />
- <wire x2="1248" y1="1856" y2="1856" x1="1184" />
- <wire x2="1184" y1="1856" y2="2064" x1="1184" />
- <wire x2="1248" y1="2064" y2="2064" x1="1184" />
- </branch>
- <branch name="out2">
- <wire x2="1696" y1="1664" y2="1664" x1="1632" />
- <wire x2="1696" y1="1664" y2="1680" x1="1696" />
- <wire x2="1840" y1="1680" y2="1680" x1="1696" />
- </branch>
- <branch name="out1">
- <wire x2="1696" y1="1328" y2="1328" x1="1632" />
- <wire x2="1696" y1="1328" y2="1344" x1="1696" />
- <wire x2="1840" y1="1344" y2="1344" x1="1696" />
- </branch>
- <branch name="out0">
- <wire x2="1696" y1="992" y2="992" x1="1632" />
- <wire x2="1696" y1="992" y2="1008" x1="1696" />
- <wire x2="1840" y1="1008" y2="1008" x1="1696" />
- </branch>
- <branch name="out3">
- <wire x2="1824" y1="2064" y2="2064" x1="1632" />
- <wire x2="1856" y1="2000" y2="2000" x1="1824" />
- <wire x2="1824" y1="2000" y2="2064" x1="1824" />
- </branch>
- <iomarker fontsize="28" x="1840" y="1008" name="out0" orien="R0" />
- <iomarker fontsize="28" x="1840" y="1344" name="out1" orien="R0" />
- <iomarker fontsize="28" x="1840" y="1680" name="out2" orien="R0" />
- <iomarker fontsize="28" x="1856" y="2000" name="out3" orien="R0" />
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="b0" />
+ <signal name="b2" />
+ <signal name="b3" />
+ <signal name="b1" />
+ <signal name="out2" />
+ <signal name="out1" />
+ <signal name="out0" />
+ <signal name="out3" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Input" name="b2" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Output" name="out2" />
+ <port polarity="Output" name="out1" />
+ <port polarity="Output" name="out0" />
+ <port polarity="Output" name="out3" />
+ <blockdef name="Negate_0">
+ <timestamp>2012-2-16T23:39:45</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </blockdef>
+ <blockdef name="Negate_1">
+ <timestamp>2012-2-16T23:39:49</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </blockdef>
+ <blockdef name="Negate_2">
+ <timestamp>2012-2-16T23:53:56</timestamp>
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <rect width="256" x="64" y="-256" height="320" />
+ </blockdef>
+ <blockdef name="Negate_3">
+ <timestamp>2012-2-16T23:39:56</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </blockdef>
+ <block symbolname="Negate_0" name="XLXI_8">
+ <blockpin signalname="b0" name="b0" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="b2" name="b2" />
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="out0" name="result" />
+ </block>
+ <block symbolname="Negate_2" name="XLXI_10">
+ <blockpin signalname="b2" name="b2" />
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="b0" name="b0" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="out2" name="result" />
+ </block>
+ <block symbolname="Negate_1" name="XLXI_9">
+ <blockpin signalname="b0" name="b0" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="b2" name="b2" />
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="out1" name="result" />
+ </block>
+ <block symbolname="Negate_3" name="XLXI_12">
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="b2" name="b0" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="b0" name="b2" />
+ <blockpin signalname="out3" name="result" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <instance x="1248" y="1216" name="XLXI_8" orien="R0">
+ </instance>
+ <instance x="1248" y="1888" name="XLXI_10" orien="R0">
+ </instance>
+ <branch name="b0">
+ <wire x2="1232" y1="992" y2="992" x1="864" />
+ <wire x2="1248" y1="992" y2="992" x1="1232" />
+ <wire x2="1232" y1="992" y2="1328" x1="1232" />
+ <wire x2="1248" y1="1328" y2="1328" x1="1232" />
+ <wire x2="1232" y1="1328" y2="1664" x1="1232" />
+ <wire x2="1248" y1="1664" y2="1664" x1="1232" />
+ <wire x2="1232" y1="1664" y2="2256" x1="1232" />
+ <wire x2="1248" y1="2256" y2="2256" x1="1232" />
+ </branch>
+ <branch name="b2">
+ <wire x2="1200" y1="1136" y2="1136" x1="864" />
+ <wire x2="1200" y1="1136" y2="1456" x1="1200" />
+ <wire x2="1248" y1="1456" y2="1456" x1="1200" />
+ <wire x2="1200" y1="1456" y2="1792" x1="1200" />
+ <wire x2="1248" y1="1792" y2="1792" x1="1200" />
+ <wire x2="1200" y1="1792" y2="2128" x1="1200" />
+ <wire x2="1248" y1="2128" y2="2128" x1="1200" />
+ <wire x2="1248" y1="1120" y2="1120" x1="1200" />
+ <wire x2="1200" y1="1120" y2="1136" x1="1200" />
+ </branch>
+ <instance x="1248" y="1552" name="XLXI_9" orien="R0">
+ </instance>
+ <branch name="b1">
+ <wire x2="1168" y1="1072" y2="1072" x1="864" />
+ <wire x2="1168" y1="1072" y2="1392" x1="1168" />
+ <wire x2="1248" y1="1392" y2="1392" x1="1168" />
+ <wire x2="1168" y1="1392" y2="1728" x1="1168" />
+ <wire x2="1248" y1="1728" y2="1728" x1="1168" />
+ <wire x2="1168" y1="1728" y2="2192" x1="1168" />
+ <wire x2="1248" y1="2192" y2="2192" x1="1168" />
+ <wire x2="1248" y1="1056" y2="1056" x1="1168" />
+ <wire x2="1168" y1="1056" y2="1072" x1="1168" />
+ </branch>
+ <iomarker fontsize="28" x="864" y="992" name="b0" orien="R180" />
+ <iomarker fontsize="28" x="864" y="1072" name="b1" orien="R180" />
+ <iomarker fontsize="28" x="864" y="1184" name="b3" orien="R180" />
+ <iomarker fontsize="28" x="864" y="1136" name="b2" orien="R180" />
+ <instance x="1248" y="2288" name="XLXI_12" orien="R0">
+ </instance>
+ <branch name="b3">
+ <wire x2="1184" y1="1184" y2="1184" x1="864" />
+ <wire x2="1248" y1="1184" y2="1184" x1="1184" />
+ <wire x2="1184" y1="1184" y2="1520" x1="1184" />
+ <wire x2="1248" y1="1520" y2="1520" x1="1184" />
+ <wire x2="1184" y1="1520" y2="1856" x1="1184" />
+ <wire x2="1248" y1="1856" y2="1856" x1="1184" />
+ <wire x2="1184" y1="1856" y2="2064" x1="1184" />
+ <wire x2="1248" y1="2064" y2="2064" x1="1184" />
+ </branch>
+ <branch name="out2">
+ <wire x2="1696" y1="1664" y2="1664" x1="1632" />
+ <wire x2="1696" y1="1664" y2="1680" x1="1696" />
+ <wire x2="1840" y1="1680" y2="1680" x1="1696" />
+ </branch>
+ <branch name="out1">
+ <wire x2="1696" y1="1328" y2="1328" x1="1632" />
+ <wire x2="1696" y1="1328" y2="1344" x1="1696" />
+ <wire x2="1840" y1="1344" y2="1344" x1="1696" />
+ </branch>
+ <branch name="out0">
+ <wire x2="1696" y1="992" y2="992" x1="1632" />
+ <wire x2="1696" y1="992" y2="1008" x1="1696" />
+ <wire x2="1840" y1="1008" y2="1008" x1="1696" />
+ </branch>
+ <branch name="out3">
+ <wire x2="1824" y1="2064" y2="2064" x1="1632" />
+ <wire x2="1856" y1="2000" y2="2000" x1="1824" />
+ <wire x2="1824" y1="2000" y2="2064" x1="1824" />
+ </branch>
+ <iomarker fontsize="28" x="1840" y="1008" name="out0" orien="R0" />
+ <iomarker fontsize="28" x="1840" y="1344" name="out1" orien="R0" />
+ <iomarker fontsize="28" x="1840" y="1680" name="out2" orien="R0" />
+ <iomarker fontsize="28" x="1856" y="2000" name="out3" orien="R0" />
+ </sheet>
</drawing> \ No newline at end of file
diff --git a/Negate.schlog b/Negate.schlog
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/Negate.schlog
diff --git a/Negate.sym b/Negate.sym
index 7528c93..7492348 100755
--- a/Negate.sym
+++ b/Negate.sym
@@ -1,33 +1,33 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Negate">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:44:17</timestamp>
- <pin polarity="Input" x="0" y="-224" name="b0" />
- <pin polarity="Input" x="0" y="-160" name="b2" />
- <pin polarity="Input" x="0" y="-96" name="b3" />
- <pin polarity="Input" x="0" y="-32" name="b1" />
- <pin polarity="Output" x="384" y="-224" name="out2" />
- <pin polarity="Output" x="384" y="-160" name="out1" />
- <pin polarity="Output" x="384" y="-96" name="out0" />
- <pin polarity="Output" x="384" y="-32" name="out3" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b0" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b2" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b3" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b1" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin out2" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-160" type="pin out1" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-96" type="pin out0" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin out3" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- <line x2="384" y1="-160" y2="-160" x1="320" />
- <line x2="384" y1="-96" y2="-96" x1="320" />
- <line x2="384" y1="-32" y2="-32" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Negate">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-16T23:54:10</timestamp>
+ <pin polarity="Input" x="0" y="-224" name="b0" />
+ <pin polarity="Input" x="0" y="-160" name="b2" />
+ <pin polarity="Input" x="0" y="-96" name="b3" />
+ <pin polarity="Input" x="0" y="-32" name="b1" />
+ <pin polarity="Output" x="384" y="-224" name="out2" />
+ <pin polarity="Output" x="384" y="-160" name="out1" />
+ <pin polarity="Output" x="384" y="-96" name="out0" />
+ <pin polarity="Output" x="384" y="-32" name="out3" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b0" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b2" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b3" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b1" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin out2" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-160" type="pin out1" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-96" type="pin out0" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-32" type="pin out3" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </graph>
+</symbol>
diff --git a/Negate.vf b/Negate.vf
index 2f68f03..eb96691 100755
--- a/Negate.vf
+++ b/Negate.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Negate.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:10
+// /___/ /\ Timestamp : 02/16/2012 18:54:27
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Negate.vf" -w "X:/My Documents/ec311/lab1/Negate.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Negate.vf" -w "X:/My Documents/ec311/ec311-lab1/Negate.sch"
//Design Name: Negate
//Device: spartan6
//Purpose:
@@ -33,17 +33,17 @@ module Negate_3_MUSER_Negate(b0,
output result;
wire XLXN_8;
- wire XLXN_9;
+ wire XLXN_10;
- OR3 XLXI_5 (.I0(b2),
- .I1(b1),
- .I2(b0),
- .O(XLXN_9));
- NAND2 XLXI_9 (.I0(XLXN_8),
- .I1(b3),
- .O(result));
- INV XLXI_12 (.I(XLXN_9),
+ OR3 XLXI_14 (.I0(b2),
+ .I1(b1),
+ .I2(b0),
.O(XLXN_8));
+ INV XLXI_15 (.I(b3),
+ .O(XLXN_10));
+ AND2 XLXI_16 (.I0(XLXN_8),
+ .I1(XLXN_10),
+ .O(result));
endmodule
`timescale 1ns / 1ps
@@ -101,33 +101,38 @@ module Negate_2_MUSER_Negate(b0,
input b3;
output result;
- wire XLXN_7;
- wire XLXN_9;
- wire XLXN_10;
- wire XLXN_12;
- wire XLXN_16;
- wire XLXN_17;
+ wire XLXN_35;
+ wire XLXN_37;
+ wire XLXN_40;
+ wire XLXN_41;
+ wire XLXN_44;
+ wire XLXN_47;
+ wire XLXN_49;
- AND2 XLXI_1 (.I0(XLXN_7),
- .I1(b3),
- .O(XLXN_9));
- AND3 XLXI_2 (.I0(b2),
- .I1(XLXN_16),
- .I2(XLXN_17),
- .O(XLXN_10));
- OR2 XLXI_3 (.I0(XLXN_10),
- .I1(XLXN_9),
+ OR4 XLXI_8 (.I0(XLXN_37),
+ .I1(XLXN_41),
+ .I2(XLXN_40),
+ .I3(XLXN_35),
.O(result));
- OR3 XLXI_4 (.I0(XLXN_12),
- .I1(b1),
- .I2(b0),
- .O(XLXN_7));
- INV XLXI_5 (.I(b2),
- .O(XLXN_12));
- INV XLXI_6 (.I(b1),
- .O(XLXN_16));
- INV XLXI_7 (.I(b0),
- .O(XLXN_17));
+ AND2 XLXI_9 (.I0(XLXN_44),
+ .I1(b3),
+ .O(XLXN_35));
+ AND2 XLXI_10 (.I0(b1),
+ .I1(XLXN_44),
+ .O(XLXN_41));
+ AND2 XLXI_11 (.I0(b0),
+ .I1(XLXN_44),
+ .O(XLXN_40));
+ INV XLXI_14 (.I(b2),
+ .O(XLXN_44));
+ AND3 XLXI_15 (.I0(XLXN_47),
+ .I1(XLXN_49),
+ .I2(b2),
+ .O(XLXN_37));
+ INV XLXI_16 (.I(b1),
+ .O(XLXN_49));
+ INV XLXI_17 (.I(b0),
+ .O(XLXN_47));
endmodule
`timescale 1ns / 1ps
diff --git a/Negate_0.cmd_log b/Negate_0.cmd_log
index c334167..757de99 100755
--- a/Negate_0.cmd_log
+++ b/Negate_0.cmd_log
@@ -1,2 +1,4 @@
sch2sym -intstyle ise -family spartan6 -refsym Negate_0 {X:/My Documents/ec311/lab1/Negate_0.sch} {X:/My Documents/ec311/lab1/Negate_0.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Negate_0 /home/michael/Documents/School/EC311/lab1/Negate_0.sch /home/michael/Documents/School/EC311/lab1/Negate_0.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate_0 {X:/My Documents/ec311/ec311-lab1/Negate_0.sch} {X:/My Documents/ec311/ec311-lab1/Negate_0.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate_0 {X:/My Documents/ec311/ec311-lab1/Negate_0.sch} {X:/My Documents/ec311/ec311-lab1/Negate_0.sym}
diff --git a/Negate_0.sym b/Negate_0.sym
index 06adc0f..b101625 100755
--- a/Negate_0.sym
+++ b/Negate_0.sym
@@ -1,24 +1,24 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Negate_0">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:44:2</timestamp>
- <pin polarity="Input" x="0" y="-224" name="b0" />
- <pin polarity="Input" x="0" y="-160" name="b1" />
- <pin polarity="Input" x="0" y="-96" name="b2" />
- <pin polarity="Input" x="0" y="-32" name="b3" />
- <pin polarity="Output" x="384" y="-224" name="result" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b0" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b2" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b3" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Negate_0">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-16T23:39:45</timestamp>
+ <pin polarity="Input" x="0" y="-224" name="b0" />
+ <pin polarity="Input" x="0" y="-160" name="b1" />
+ <pin polarity="Input" x="0" y="-96" name="b2" />
+ <pin polarity="Input" x="0" y="-32" name="b3" />
+ <pin polarity="Output" x="384" y="-224" name="result" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b0" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b2" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b3" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </graph>
+</symbol>
diff --git a/Negate_0.vf b/Negate_0.vf
index 4ea3c60..3bdfa8b 100755
--- a/Negate_0.vf
+++ b/Negate_0.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Negate_0.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:09
+// /___/ /\ Timestamp : 02/16/2012 18:40:37
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Negate_0.vf" -w "X:/My Documents/ec311/lab1/Negate_0.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Negate_0.vf" -w "X:/My Documents/ec311/ec311-lab1/Negate_0.sch"
//Design Name: Negate_0
//Device: spartan6
//Purpose:
diff --git a/Negate_1.cmd_log b/Negate_1.cmd_log
index 8255945..c566d5e 100755
--- a/Negate_1.cmd_log
+++ b/Negate_1.cmd_log
@@ -1,2 +1,4 @@
sch2sym -intstyle ise -family spartan6 -refsym Negate_1 {X:/My Documents/ec311/lab1/Negate_1.sch} {X:/My Documents/ec311/lab1/Negate_1.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Negate_1 /home/michael/Documents/School/EC311/lab1/Negate_1.sch /home/michael/Documents/School/EC311/lab1/Negate_1.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate_1 {X:/My Documents/ec311/ec311-lab1/Negate_1.sch} {X:/My Documents/ec311/ec311-lab1/Negate_1.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate_1 {X:/My Documents/ec311/ec311-lab1/Negate_1.sch} {X:/My Documents/ec311/ec311-lab1/Negate_1.sym}
diff --git a/Negate_1.sym b/Negate_1.sym
index bd9722a..c530133 100755
--- a/Negate_1.sym
+++ b/Negate_1.sym
@@ -1,24 +1,24 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Negate_1">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:43:59</timestamp>
- <pin polarity="Input" x="0" y="-224" name="b0" />
- <pin polarity="Input" x="0" y="-160" name="b1" />
- <pin polarity="Input" x="0" y="-96" name="b2" />
- <pin polarity="Input" x="0" y="-32" name="b3" />
- <pin polarity="Output" x="384" y="-224" name="result" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b0" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b2" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b3" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Negate_1">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-16T23:39:49</timestamp>
+ <pin polarity="Input" x="0" y="-224" name="b0" />
+ <pin polarity="Input" x="0" y="-160" name="b1" />
+ <pin polarity="Input" x="0" y="-96" name="b2" />
+ <pin polarity="Input" x="0" y="-32" name="b3" />
+ <pin polarity="Output" x="384" y="-224" name="result" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b0" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b2" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b3" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </graph>
+</symbol>
diff --git a/Negate_1.vf b/Negate_1.vf
index 23a40c7..664d073 100755
--- a/Negate_1.vf
+++ b/Negate_1.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Negate_1.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:09
+// /___/ /\ Timestamp : 02/16/2012 18:40:35
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Negate_1.vf" -w "X:/My Documents/ec311/lab1/Negate_1.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Negate_1.vf" -w "X:/My Documents/ec311/ec311-lab1/Negate_1.sch"
//Design Name: Negate_1
//Device: spartan6
//Purpose:
diff --git a/Negate_2.cmd_log b/Negate_2.cmd_log
index c69baf9..eb4f0b5 100755
--- a/Negate_2.cmd_log
+++ b/Negate_2.cmd_log
@@ -1,2 +1,6 @@
sch2sym -intstyle ise -family spartan6 -refsym Negate_2 {X:/My Documents/ec311/lab1/Negate_2.sch} {X:/My Documents/ec311/lab1/Negate_2.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Negate_2 /home/michael/Documents/School/EC311/lab1/Negate_2.sch /home/michael/Documents/School/EC311/lab1/Negate_2.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate_2 {X:/My Documents/ec311/ec311-lab1/Negate_2.sch} {X:/My Documents/ec311/ec311-lab1/Negate_2.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate_2 {X:/My Documents/ec311/ec311-lab1/Negate_2.sch} {X:/My Documents/ec311/ec311-lab1/Negate_2.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate_2 {X:/My Documents/ec311/ec311-lab1/Negate_2.sch} {X:/My Documents/ec311/ec311-lab1/Negate_2.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate_2 {X:/My Documents/ec311/ec311-lab1/Negate_2.sch} {X:/My Documents/ec311/ec311-lab1/Negate_2.sym}
diff --git a/Negate_2.jhd b/Negate_2.jhd
index 237044d..ae25d66 100755
--- a/Negate_2.jhd
+++ b/Negate_2.jhd
@@ -1 +1 @@
-MODULE Negate_2
+MODULE Negate_2
diff --git a/Negate_2.sch b/Negate_2.sch
index 2aa228c..5d7d5a3 100755
--- a/Negate_2.sch
+++ b/Negate_2.sch
@@ -1,179 +1,192 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="result" />
- <signal name="b2" />
- <signal name="XLXN_35" />
- <signal name="XLXN_37" />
- <signal name="XLXN_40" />
- <signal name="XLXN_41" />
- <signal name="XLXN_43" />
- <signal name="XLXN_44" />
- <signal name="XLXN_45" />
- <signal name="XLXN_46" />
- <signal name="XLXN_48" />
- <signal name="XLXN_49" />
- <signal name="XLXN_50" />
- <signal name="b3" />
- <signal name="b0" />
- <signal name="b1" />
- <signal name="XLXN_58" />
- <signal name="XLXN_59" />
- <signal name="XLXN_60" />
- <signal name="XLXN_61" />
- <port polarity="Output" name="result" />
- <port polarity="Input" name="b2" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b0" />
- <port polarity="Input" name="b1" />
- <blockdef name="and2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="192" y1="-96" y2="-96" x1="256" />
- <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
- <line x2="64" y1="-48" y2="-48" x1="144" />
- <line x2="144" y1="-144" y2="-144" x1="64" />
- <line x2="64" y1="-48" y2="-144" x1="64" />
- </blockdef>
- <blockdef name="or4">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="48" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="48" y1="-256" y2="-256" x1="0" />
- <line x2="192" y1="-160" y2="-160" x1="256" />
- <arc ex="112" ey="-208" sx="192" sy="-160" r="88" cx="116" cy="-120" />
- <line x2="48" y1="-208" y2="-208" x1="112" />
- <line x2="48" y1="-112" y2="-112" x1="112" />
- <line x2="48" y1="-256" y2="-208" x1="48" />
- <line x2="48" y1="-64" y2="-112" x1="48" />
- <arc ex="48" ey="-208" sx="48" sy="-112" r="56" cx="16" cy="-160" />
- <arc ex="192" ey="-160" sx="112" sy="-112" r="88" cx="116" cy="-200" />
- </blockdef>
- <blockdef name="nand3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="216" y1="-128" y2="-128" x1="256" />
- <circle r="12" cx="204" cy="-128" />
- <line x2="144" y1="-176" y2="-176" x1="64" />
- <line x2="64" y1="-80" y2="-80" x1="144" />
- <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
- <line x2="64" y1="-64" y2="-192" x1="64" />
- </blockdef>
- <blockdef name="inv">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-32" y2="-32" x1="0" />
- <line x2="160" y1="-32" y2="-32" x1="224" />
- <line x2="128" y1="-64" y2="-32" x1="64" />
- <line x2="64" y1="-32" y2="0" x1="128" />
- <line x2="64" y1="0" y2="-64" x1="64" />
- <circle r="16" cx="144" cy="-32" />
- </blockdef>
- <block symbolname="or4" name="XLXI_8">
- <blockpin signalname="XLXN_37" name="I0" />
- <blockpin signalname="XLXN_41" name="I1" />
- <blockpin signalname="XLXN_40" name="I2" />
- <blockpin signalname="XLXN_35" name="I3" />
- <blockpin signalname="result" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_9">
- <blockpin signalname="XLXN_44" name="I0" />
- <blockpin signalname="b3" name="I1" />
- <blockpin signalname="XLXN_35" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_10">
- <blockpin signalname="b1" name="I0" />
- <blockpin signalname="XLXN_44" name="I1" />
- <blockpin signalname="XLXN_41" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_11">
- <blockpin signalname="b0" name="I0" />
- <blockpin signalname="XLXN_44" name="I1" />
- <blockpin signalname="XLXN_40" name="O" />
- </block>
- <block symbolname="nand3" name="XLXI_12">
- <blockpin signalname="b1" name="I0" />
- <blockpin signalname="b0" name="I1" />
- <blockpin signalname="XLXN_44" name="I2" />
- <blockpin signalname="XLXN_37" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_14">
- <blockpin signalname="b2" name="I" />
- <blockpin signalname="XLXN_44" name="O" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <branch name="result">
- <wire x2="2240" y1="960" y2="960" x1="2208" />
- </branch>
- <iomarker fontsize="28" x="2240" y="960" name="result" orien="R0" />
- <instance x="1952" y="1120" name="XLXI_8" orien="R0" />
- <instance x="1680" y="848" name="XLXI_9" orien="R0" />
- <branch name="XLXN_35">
- <wire x2="1952" y1="752" y2="752" x1="1936" />
- <wire x2="1952" y1="752" y2="864" x1="1952" />
- </branch>
- <branch name="XLXN_40">
- <wire x2="1952" y1="928" y2="928" x1="1920" />
- </branch>
- <instance x="1664" y="1024" name="XLXI_11" orien="R0" />
- <instance x="1376" y="816" name="XLXI_14" orien="R0" />
- <branch name="XLXN_44">
- <wire x2="1616" y1="784" y2="784" x1="1600" />
- <wire x2="1680" y1="784" y2="784" x1="1616" />
- <wire x2="1616" y1="784" y2="896" x1="1616" />
- <wire x2="1664" y1="896" y2="896" x1="1616" />
- <wire x2="1616" y1="896" y2="1056" x1="1616" />
- <wire x2="1648" y1="1056" y2="1056" x1="1616" />
- <wire x2="1616" y1="1056" y2="1056" x1="1600" />
- <wire x2="1600" y1="1056" y2="1248" x1="1600" />
- <wire x2="1632" y1="1248" y2="1248" x1="1600" />
- </branch>
- <branch name="XLXN_41">
- <wire x2="1904" y1="992" y2="1088" x1="1904" />
- <wire x2="1952" y1="992" y2="992" x1="1904" />
- </branch>
- <branch name="XLXN_37">
- <wire x2="1936" y1="1312" y2="1312" x1="1888" />
- <wire x2="1952" y1="1056" y2="1056" x1="1936" />
- <wire x2="1936" y1="1056" y2="1312" x1="1936" />
- </branch>
- <instance x="1648" y="1184" name="XLXI_10" orien="R0" />
- <instance x="1632" y="1440" name="XLXI_12" orien="R0" />
- <branch name="b3">
- <wire x2="1680" y1="720" y2="720" x1="1616" />
- </branch>
- <iomarker fontsize="28" x="1616" y="720" name="b3" orien="R180" />
- <iomarker fontsize="28" x="1312" y="784" name="b2" orien="R180" />
- <branch name="b2">
- <wire x2="1376" y1="784" y2="784" x1="1312" />
- </branch>
- <iomarker fontsize="28" x="1408" y="1056" name="b0" orien="R180" />
- <branch name="b1">
- <wire x2="1488" y1="1136" y2="1136" x1="1424" />
- <wire x2="1568" y1="1136" y2="1136" x1="1488" />
- <wire x2="1568" y1="1136" y2="1376" x1="1568" />
- <wire x2="1632" y1="1376" y2="1376" x1="1568" />
- <wire x2="1568" y1="1120" y2="1136" x1="1568" />
- <wire x2="1648" y1="1120" y2="1120" x1="1568" />
- </branch>
- <iomarker fontsize="28" x="1424" y="1136" name="b1" orien="R180" />
- <branch name="b0">
- <wire x2="1440" y1="1056" y2="1056" x1="1408" />
- <wire x2="1440" y1="960" y2="1056" x1="1440" />
- <wire x2="1536" y1="960" y2="960" x1="1440" />
- <wire x2="1664" y1="960" y2="960" x1="1536" />
- <wire x2="1536" y1="960" y2="1120" x1="1536" />
- <wire x2="1536" y1="1120" y2="1312" x1="1536" />
- <wire x2="1632" y1="1312" y2="1312" x1="1536" />
- </branch>
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="result" />
+ <signal name="b2" />
+ <signal name="XLXN_35" />
+ <signal name="XLXN_37" />
+ <signal name="XLXN_40" />
+ <signal name="XLXN_41" />
+ <signal name="XLXN_44" />
+ <signal name="b3" />
+ <signal name="b0" />
+ <signal name="b1" />
+ <signal name="XLXN_47" />
+ <signal name="XLXN_48" />
+ <signal name="XLXN_49" />
+ <signal name="XLXN_50" />
+ <port polarity="Output" name="result" />
+ <port polarity="Input" name="b2" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Input" name="b1" />
+ <blockdef name="and2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
+ <line x2="64" y1="-48" y2="-48" x1="144" />
+ <line x2="144" y1="-144" y2="-144" x1="64" />
+ <line x2="64" y1="-48" y2="-144" x1="64" />
+ </blockdef>
+ <blockdef name="or4">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="48" y1="-256" y2="-256" x1="0" />
+ <line x2="192" y1="-160" y2="-160" x1="256" />
+ <arc ex="112" ey="-208" sx="192" sy="-160" r="88" cx="116" cy="-120" />
+ <line x2="48" y1="-208" y2="-208" x1="112" />
+ <line x2="48" y1="-112" y2="-112" x1="112" />
+ <line x2="48" y1="-256" y2="-208" x1="48" />
+ <line x2="48" y1="-64" y2="-112" x1="48" />
+ <arc ex="48" ey="-208" sx="48" sy="-112" r="56" cx="16" cy="-160" />
+ <arc ex="192" ey="-160" sx="112" sy="-112" r="88" cx="116" cy="-200" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <blockdef name="and3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <line x2="144" y1="-176" y2="-176" x1="64" />
+ <line x2="64" y1="-80" y2="-80" x1="144" />
+ <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
+ <line x2="64" y1="-64" y2="-192" x1="64" />
+ </blockdef>
+ <block symbolname="or4" name="XLXI_8">
+ <blockpin signalname="XLXN_37" name="I0" />
+ <blockpin signalname="XLXN_41" name="I1" />
+ <blockpin signalname="XLXN_40" name="I2" />
+ <blockpin signalname="XLXN_35" name="I3" />
+ <blockpin signalname="result" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_9">
+ <blockpin signalname="XLXN_44" name="I0" />
+ <blockpin signalname="b3" name="I1" />
+ <blockpin signalname="XLXN_35" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_10">
+ <blockpin signalname="b1" name="I0" />
+ <blockpin signalname="XLXN_44" name="I1" />
+ <blockpin signalname="XLXN_41" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_11">
+ <blockpin signalname="b0" name="I0" />
+ <blockpin signalname="XLXN_44" name="I1" />
+ <blockpin signalname="XLXN_40" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_14">
+ <blockpin signalname="b2" name="I" />
+ <blockpin signalname="XLXN_44" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_15">
+ <blockpin signalname="XLXN_47" name="I0" />
+ <blockpin signalname="XLXN_49" name="I1" />
+ <blockpin signalname="b2" name="I2" />
+ <blockpin signalname="XLXN_37" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_16">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_49" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_17">
+ <blockpin signalname="b0" name="I" />
+ <blockpin signalname="XLXN_47" name="O" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <branch name="result">
+ <wire x2="2240" y1="960" y2="960" x1="2208" />
+ </branch>
+ <iomarker fontsize="28" x="2240" y="960" name="result" orien="R0" />
+ <instance x="1952" y="1120" name="XLXI_8" orien="R0" />
+ <instance x="1680" y="848" name="XLXI_9" orien="R0" />
+ <branch name="XLXN_35">
+ <wire x2="1952" y1="752" y2="752" x1="1936" />
+ <wire x2="1952" y1="752" y2="864" x1="1952" />
+ </branch>
+ <branch name="XLXN_40">
+ <wire x2="1952" y1="928" y2="928" x1="1920" />
+ </branch>
+ <instance x="1664" y="1024" name="XLXI_11" orien="R0" />
+ <instance x="1376" y="816" name="XLXI_14" orien="R0" />
+ <branch name="XLXN_41">
+ <wire x2="1904" y1="992" y2="1088" x1="1904" />
+ <wire x2="1952" y1="992" y2="992" x1="1904" />
+ </branch>
+ <branch name="XLXN_37">
+ <wire x2="1936" y1="1312" y2="1312" x1="1888" />
+ <wire x2="1952" y1="1056" y2="1056" x1="1936" />
+ <wire x2="1936" y1="1056" y2="1312" x1="1936" />
+ </branch>
+ <instance x="1648" y="1184" name="XLXI_10" orien="R0" />
+ <branch name="b3">
+ <wire x2="1680" y1="720" y2="720" x1="1616" />
+ </branch>
+ <iomarker fontsize="28" x="1616" y="720" name="b3" orien="R180" />
+ <iomarker fontsize="28" x="1312" y="784" name="b2" orien="R180" />
+ <branch name="b2">
+ <wire x2="1360" y1="896" y2="896" x1="1280" />
+ <wire x2="1280" y1="896" y2="1248" x1="1280" />
+ <wire x2="1632" y1="1248" y2="1248" x1="1280" />
+ <wire x2="1360" y1="784" y2="784" x1="1312" />
+ <wire x2="1376" y1="784" y2="784" x1="1360" />
+ <wire x2="1360" y1="784" y2="896" x1="1360" />
+ </branch>
+ <iomarker fontsize="28" x="1408" y="1056" name="b0" orien="R180" />
+ <instance x="1632" y="1440" name="XLXI_15" orien="R0" />
+ <branch name="b0">
+ <wire x2="1312" y1="1008" y2="1376" x1="1312" />
+ <wire x2="1376" y1="1376" y2="1376" x1="1312" />
+ <wire x2="1440" y1="1008" y2="1008" x1="1312" />
+ <wire x2="1440" y1="1008" y2="1056" x1="1440" />
+ <wire x2="1440" y1="1056" y2="1056" x1="1408" />
+ <wire x2="1440" y1="960" y2="1008" x1="1440" />
+ <wire x2="1664" y1="960" y2="960" x1="1440" />
+ </branch>
+ <branch name="XLXN_44">
+ <wire x2="1616" y1="784" y2="784" x1="1600" />
+ <wire x2="1680" y1="784" y2="784" x1="1616" />
+ <wire x2="1616" y1="784" y2="896" x1="1616" />
+ <wire x2="1664" y1="896" y2="896" x1="1616" />
+ <wire x2="1616" y1="896" y2="1056" x1="1616" />
+ <wire x2="1648" y1="1056" y2="1056" x1="1616" />
+ </branch>
+ <branch name="b1">
+ <wire x2="1504" y1="1136" y2="1136" x1="1200" />
+ <wire x2="1568" y1="1136" y2="1136" x1="1504" />
+ <wire x2="1504" y1="1136" y2="1216" x1="1504" />
+ <wire x2="1328" y1="1216" y2="1312" x1="1328" />
+ <wire x2="1376" y1="1312" y2="1312" x1="1328" />
+ <wire x2="1504" y1="1216" y2="1216" x1="1328" />
+ <wire x2="1648" y1="1120" y2="1120" x1="1568" />
+ <wire x2="1568" y1="1120" y2="1136" x1="1568" />
+ </branch>
+ <iomarker fontsize="28" x="1200" y="1136" name="b1" orien="R180" />
+ <branch name="XLXN_47">
+ <wire x2="1632" y1="1376" y2="1376" x1="1600" />
+ </branch>
+ <instance x="1376" y="1408" name="XLXI_17" orien="R0" />
+ <branch name="XLXN_49">
+ <wire x2="1632" y1="1312" y2="1312" x1="1600" />
+ </branch>
+ <instance x="1376" y="1344" name="XLXI_16" orien="R0" />
+ </sheet>
</drawing> \ No newline at end of file
diff --git a/Negate_2.sym b/Negate_2.sym
index 6763b85..92fd357 100755
--- a/Negate_2.sym
+++ b/Negate_2.sym
@@ -1,24 +1,24 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Negate_2">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:43:56</timestamp>
- <pin polarity="Input" x="0" y="-96" name="b2" />
- <pin polarity="Input" x="0" y="-32" name="b3" />
- <pin polarity="Input" x="0" y="-224" name="b0" />
- <pin polarity="Input" x="0" y="-160" name="b1" />
- <pin polarity="Output" x="384" y="-224" name="result" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b2" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b3" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b0" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Negate_2">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-16T23:53:56</timestamp>
+ <pin polarity="Input" x="0" y="-96" name="b2" />
+ <pin polarity="Input" x="0" y="-32" name="b3" />
+ <pin polarity="Input" x="0" y="-224" name="b0" />
+ <pin polarity="Input" x="0" y="-160" name="b1" />
+ <pin polarity="Output" x="384" y="-224" name="result" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b2" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b3" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b0" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b1" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <rect width="256" x="64" y="-256" height="320" />
+ </graph>
+</symbol>
diff --git a/Negate_2.vf b/Negate_2.vf
index 6ce41b2..b2302b8 100755
--- a/Negate_2.vf
+++ b/Negate_2.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Negate_2.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:09
+// /___/ /\ Timestamp : 02/16/2012 18:54:27
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Negate_2.vf" -w "X:/My Documents/ec311/lab1/Negate_2.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Negate_2.vf" -w "X:/My Documents/ec311/ec311-lab1/Negate_2.sch"
//Design Name: Negate_2
//Device: spartan6
//Purpose:
@@ -32,31 +32,36 @@ module Negate_2(b0,
input b3;
output result;
- wire XLXN_7;
- wire XLXN_9;
- wire XLXN_10;
- wire XLXN_12;
- wire XLXN_16;
- wire XLXN_17;
+ wire XLXN_35;
+ wire XLXN_37;
+ wire XLXN_40;
+ wire XLXN_41;
+ wire XLXN_44;
+ wire XLXN_47;
+ wire XLXN_49;
- AND2 XLXI_1 (.I0(XLXN_7),
- .I1(b3),
- .O(XLXN_9));
- AND3 XLXI_2 (.I0(b2),
- .I1(XLXN_16),
- .I2(XLXN_17),
- .O(XLXN_10));
- OR2 XLXI_3 (.I0(XLXN_10),
- .I1(XLXN_9),
+ OR4 XLXI_8 (.I0(XLXN_37),
+ .I1(XLXN_41),
+ .I2(XLXN_40),
+ .I3(XLXN_35),
.O(result));
- OR3 XLXI_4 (.I0(XLXN_12),
- .I1(b1),
- .I2(b0),
- .O(XLXN_7));
- INV XLXI_5 (.I(b2),
- .O(XLXN_12));
- INV XLXI_6 (.I(b1),
- .O(XLXN_16));
- INV XLXI_7 (.I(b0),
- .O(XLXN_17));
+ AND2 XLXI_9 (.I0(XLXN_44),
+ .I1(b3),
+ .O(XLXN_35));
+ AND2 XLXI_10 (.I0(b1),
+ .I1(XLXN_44),
+ .O(XLXN_41));
+ AND2 XLXI_11 (.I0(b0),
+ .I1(XLXN_44),
+ .O(XLXN_40));
+ INV XLXI_14 (.I(b2),
+ .O(XLXN_44));
+ AND3 XLXI_15 (.I0(XLXN_47),
+ .I1(XLXN_49),
+ .I2(b2),
+ .O(XLXN_37));
+ INV XLXI_16 (.I(b1),
+ .O(XLXN_49));
+ INV XLXI_17 (.I(b0),
+ .O(XLXN_47));
endmodule
diff --git a/Negate_3.cmd_log b/Negate_3.cmd_log
index 3df4b90..253b96d 100755
--- a/Negate_3.cmd_log
+++ b/Negate_3.cmd_log
@@ -1,2 +1,4 @@
sch2sym -intstyle ise -family spartan6 -refsym Negate_3 {X:/My Documents/ec311/lab1/Negate_3.sch} {X:/My Documents/ec311/lab1/Negate_3.sym}
sch2sym -intstyle ise -family spartan6 -w -refsym Negate_3 /home/michael/Documents/School/EC311/lab1/Negate_3.sch /home/michael/Documents/School/EC311/lab1/Negate_3.sym
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate_3 {X:/My Documents/ec311/ec311-lab1/Negate_3.sch} {X:/My Documents/ec311/ec311-lab1/Negate_3.sym}
+sch2sym -intstyle ise -family spartan6 -w -refsym Negate_3 {X:/My Documents/ec311/ec311-lab1/Negate_3.sch} {X:/My Documents/ec311/ec311-lab1/Negate_3.sym}
diff --git a/Negate_3.jhd b/Negate_3.jhd
index a943761..8ba0414 100755
--- a/Negate_3.jhd
+++ b/Negate_3.jhd
@@ -1 +1 @@
-MODULE Negate_3
+MODULE Negate_3
diff --git a/Negate_3.sch b/Negate_3.sch
index 7568688..01b6d13 100755
--- a/Negate_3.sch
+++ b/Negate_3.sch
@@ -1,89 +1,105 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="XLXN_8" />
- <signal name="result" />
- <signal name="b3" />
- <signal name="b0" />
- <signal name="b1" />
- <signal name="b2" />
- <port polarity="Output" name="result" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b0" />
- <port polarity="Input" name="b1" />
- <port polarity="Input" name="b2" />
- <blockdef name="nand2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="216" y1="-96" y2="-96" x1="256" />
- <circle r="12" cx="204" cy="-96" />
- <line x2="64" y1="-48" y2="-144" x1="64" />
- <line x2="144" y1="-144" y2="-144" x1="64" />
- <line x2="64" y1="-48" y2="-48" x1="144" />
- <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
- </blockdef>
- <blockdef name="nor3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="48" y1="-64" y2="-64" x1="0" />
- <line x2="72" y1="-128" y2="-128" x1="0" />
- <line x2="48" y1="-192" y2="-192" x1="0" />
- <line x2="216" y1="-128" y2="-128" x1="256" />
- <circle r="12" cx="204" cy="-128" />
- <line x2="48" y1="-64" y2="-80" x1="48" />
- <line x2="48" y1="-192" y2="-176" x1="48" />
- <line x2="48" y1="-80" y2="-80" x1="112" />
- <line x2="48" y1="-176" y2="-176" x1="112" />
- <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
- <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
- <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
- </blockdef>
- <block symbolname="nand2" name="XLXI_9">
- <blockpin signalname="XLXN_8" name="I0" />
- <blockpin signalname="b3" name="I1" />
- <blockpin signalname="result" name="O" />
- </block>
- <block symbolname="nor3" name="XLXI_13">
- <blockpin signalname="b2" name="I0" />
- <blockpin signalname="b1" name="I1" />
- <blockpin signalname="b0" name="I2" />
- <blockpin signalname="XLXN_8" name="O" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <branch name="result">
- <wire x2="2240" y1="848" y2="848" x1="2224" />
- </branch>
- <iomarker fontsize="28" x="2240" y="848" name="result" orien="R0" />
- <iomarker fontsize="28" x="1680" y="816" name="b3" orien="R180" />
- <instance x="1968" y="944" name="XLXI_9" orien="R0" />
- <branch name="b3">
- <wire x2="1968" y1="816" y2="816" x1="1680" />
- </branch>
- <branch name="XLXN_8">
- <wire x2="1968" y1="880" y2="880" x1="1904" />
- <wire x2="1904" y1="880" y2="896" x1="1904" />
- <wire x2="1952" y1="896" y2="896" x1="1904" />
- <wire x2="1952" y1="896" y2="976" x1="1952" />
- <wire x2="1952" y1="976" y2="976" x1="1920" />
- </branch>
- <instance x="1664" y="1104" name="XLXI_13" orien="R0" />
- <branch name="b0">
- <wire x2="1664" y1="912" y2="912" x1="1632" />
- </branch>
- <branch name="b1">
- <wire x2="1664" y1="976" y2="976" x1="1632" />
- </branch>
- <branch name="b2">
- <wire x2="1664" y1="1040" y2="1040" x1="1632" />
- </branch>
- <iomarker fontsize="28" x="1632" y="912" name="b0" orien="R180" />
- <iomarker fontsize="28" x="1632" y="976" name="b1" orien="R180" />
- <iomarker fontsize="28" x="1632" y="1040" name="b2" orien="R180" />
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="XLXN_8" />
+ <signal name="result" />
+ <signal name="b3" />
+ <signal name="b0" />
+ <signal name="b1" />
+ <signal name="b2" />
+ <signal name="XLXN_10" />
+ <port polarity="Output" name="result" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Input" name="b2" />
+ <blockdef name="or3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="72" y1="-128" y2="-128" x1="0" />
+ <line x2="48" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
+ <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
+ <line x2="48" y1="-64" y2="-80" x1="48" />
+ <line x2="48" y1="-192" y2="-176" x1="48" />
+ <line x2="48" y1="-80" y2="-80" x1="112" />
+ <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
+ <line x2="48" y1="-176" y2="-176" x1="112" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <blockdef name="and2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
+ <line x2="64" y1="-48" y2="-48" x1="144" />
+ <line x2="144" y1="-144" y2="-144" x1="64" />
+ <line x2="64" y1="-48" y2="-144" x1="64" />
+ </blockdef>
+ <block symbolname="or3" name="XLXI_14">
+ <blockpin signalname="b2" name="I0" />
+ <blockpin signalname="b1" name="I1" />
+ <blockpin signalname="b0" name="I2" />
+ <blockpin signalname="XLXN_8" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_15">
+ <blockpin signalname="b3" name="I" />
+ <blockpin signalname="XLXN_10" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_16">
+ <blockpin signalname="XLXN_8" name="I0" />
+ <blockpin signalname="XLXN_10" name="I1" />
+ <blockpin signalname="result" name="O" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <iomarker fontsize="28" x="1680" y="816" name="b3" orien="R180" />
+ <branch name="b3">
+ <wire x2="1808" y1="816" y2="816" x1="1680" />
+ </branch>
+ <branch name="XLXN_8">
+ <wire x2="1904" y1="880" y2="896" x1="1904" />
+ <wire x2="1952" y1="896" y2="896" x1="1904" />
+ <wire x2="1952" y1="896" y2="976" x1="1952" />
+ <wire x2="2064" y1="880" y2="880" x1="1904" />
+ <wire x2="1952" y1="976" y2="976" x1="1920" />
+ </branch>
+ <branch name="b0">
+ <wire x2="1664" y1="912" y2="912" x1="1632" />
+ </branch>
+ <branch name="b1">
+ <wire x2="1664" y1="976" y2="976" x1="1632" />
+ </branch>
+ <branch name="b2">
+ <wire x2="1664" y1="1040" y2="1040" x1="1632" />
+ </branch>
+ <iomarker fontsize="28" x="1632" y="912" name="b0" orien="R180" />
+ <iomarker fontsize="28" x="1632" y="976" name="b1" orien="R180" />
+ <iomarker fontsize="28" x="1632" y="1040" name="b2" orien="R180" />
+ <instance x="1664" y="1104" name="XLXI_14" orien="R0" />
+ <instance x="1808" y="848" name="XLXI_15" orien="R0" />
+ <branch name="result">
+ <wire x2="2400" y1="848" y2="848" x1="2320" />
+ </branch>
+ <branch name="XLXN_10">
+ <wire x2="2064" y1="816" y2="816" x1="2032" />
+ </branch>
+ <instance x="2064" y="944" name="XLXI_16" orien="R0" />
+ <iomarker fontsize="28" x="2400" y="848" name="result" orien="R0" />
+ </sheet>
</drawing> \ No newline at end of file
diff --git a/Negate_3.sym b/Negate_3.sym
index 3a12c24..f222519 100755
--- a/Negate_3.sym
+++ b/Negate_3.sym
@@ -1,24 +1,24 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="Negate_3">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T0:43:52</timestamp>
- <pin polarity="Input" x="0" y="-224" name="b3" />
- <pin polarity="Input" x="0" y="-160" name="b0" />
- <pin polarity="Input" x="0" y="-96" name="b1" />
- <pin polarity="Input" x="0" y="-32" name="b2" />
- <pin polarity="Output" x="384" y="-224" name="result" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b3" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b0" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b1" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b2" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="Negate_3">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-16T23:39:56</timestamp>
+ <pin polarity="Input" x="0" y="-224" name="b3" />
+ <pin polarity="Input" x="0" y="-160" name="b0" />
+ <pin polarity="Input" x="0" y="-96" name="b1" />
+ <pin polarity="Input" x="0" y="-32" name="b2" />
+ <pin polarity="Output" x="384" y="-224" name="result" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-264" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-224" type="pin b3" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-160" type="pin b0" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-96" type="pin b1" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="-32" type="pin b2" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="-224" type="pin result" />
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </graph>
+</symbol>
diff --git a/Negate_3.vf b/Negate_3.vf
index 7205c3a..4b821ca 100755
--- a/Negate_3.vf
+++ b/Negate_3.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : Negate_3.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:08
+// /___/ /\ Timestamp : 02/16/2012 19:22:52
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/Negate_3.vf" -w "X:/My Documents/ec311/lab1/Negate_3.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Negate_3.vf" -w "X:/My Documents/ec311/ec311-lab1/Negate_3.sch"
//Design Name: Negate_3
//Device: spartan6
//Purpose:
@@ -33,15 +33,15 @@ module Negate_3(b0,
output result;
wire XLXN_8;
- wire XLXN_9;
+ wire XLXN_10;
- OR3 XLXI_5 (.I0(b2),
- .I1(b1),
- .I2(b0),
- .O(XLXN_9));
- NAND2 XLXI_9 (.I0(XLXN_8),
- .I1(b3),
- .O(result));
- INV XLXI_12 (.I(XLXN_9),
+ OR3 XLXI_14 (.I0(b2),
+ .I1(b1),
+ .I2(b0),
.O(XLXN_8));
+ INV XLXI_15 (.I(b3),
+ .O(XLXN_10));
+ AND2 XLXI_16 (.I0(XLXN_8),
+ .I1(XLXN_10),
+ .O(result));
endmodule
diff --git a/_ngo/netlist.lst b/_ngo/netlist.lst
index c77d9e2..8d387ea 100755
--- a/_ngo/netlist.lst
+++ b/_ngo/netlist.lst
@@ -1,2 +1,2 @@
-X:\My Documents\ec311\lab1\ALU.ngc 1329336939
+X:\My Documents\ec311\ec311-lab1\ALU.ngc 1329439965
OK
diff --git a/_xmsgs/bitgen.xmsgs b/_xmsgs/bitgen.xmsgs
index 78d5ffb..6581d17 100755
--- a/_xmsgs/bitgen.xmsgs
+++ b/_xmsgs/bitgen.xmsgs
@@ -5,7 +5,7 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
-<msg type="info" file="Bitgen" num="278" delta="new" >Setting the Persist option to &quot;Yes&quot; with the CONFIG_MODE constraint value &quot;<arg fmt="%s" index="1">UnSpecified</arg>&quot; will result in the 8-bit SelectMap port being persisted.
+<msg type="info" file="Bitgen" num="278" delta="old" >Setting the Persist option to &quot;Yes&quot; with the CONFIG_MODE constraint value &quot;<arg fmt="%s" index="1">UnSpecified</arg>&quot; will result in the 8-bit SelectMap port being persisted.
</msg>
</messages>
diff --git a/_xmsgs/map.xmsgs b/_xmsgs/map.xmsgs
index b32095a..4ecf2a7 100755
--- a/_xmsgs/map.xmsgs
+++ b/_xmsgs/map.xmsgs
@@ -5,22 +5,22 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
-<msg type="info" file="MapLib" num="562" delta="new" >No environment variables are currently set.
+<msg type="info" file="MapLib" num="562" delta="old" >No environment variables are currently set.
</msg>
-<msg type="info" file="LIT" num="244" delta="new" >All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs.
+<msg type="info" file="LIT" num="244" delta="old" >All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs.
</msg>
-<msg type="info" file="Pack" num="1716" delta="new" >Initializing temperature to <arg fmt="%0.3f" index="1">85.000</arg> Celsius. (default - Range: <arg fmt="%0.3f" index="2">0.000</arg> to <arg fmt="%0.3f" index="3">85.000</arg> Celsius)
+<msg type="info" file="Pack" num="1716" delta="old" >Initializing temperature to <arg fmt="%0.3f" index="1">85.000</arg> Celsius. (default - Range: <arg fmt="%0.3f" index="2">0.000</arg> to <arg fmt="%0.3f" index="3">85.000</arg> Celsius)
</msg>
-<msg type="info" file="Pack" num="1720" delta="new" >Initializing voltage to <arg fmt="%0.3f" index="1">1.140</arg> Volts. (default - Range: <arg fmt="%0.3f" index="2">1.140</arg> to <arg fmt="%0.3f" index="3">1.260</arg> Volts)
+<msg type="info" file="Pack" num="1720" delta="old" >Initializing voltage to <arg fmt="%0.3f" index="1">1.140</arg> Volts. (default - Range: <arg fmt="%0.3f" index="2">1.140</arg> to <arg fmt="%0.3f" index="3">1.260</arg> Volts)
</msg>
-<msg type="info" file="Map" num="215" delta="new" >The Interim Design Summary has been generated in the MAP Report (.mrp).
+<msg type="info" file="Map" num="215" delta="old" >The Interim Design Summary has been generated in the MAP Report (.mrp).
</msg>
-<msg type="info" file="Pack" num="1650" delta="new" >Map created a placed design.
+<msg type="info" file="Pack" num="1650" delta="old" >Map created a placed design.
</msg>
</messages>
diff --git a/_xmsgs/par.xmsgs b/_xmsgs/par.xmsgs
index 848b12c..5f1f5f1 100755
--- a/_xmsgs/par.xmsgs
+++ b/_xmsgs/par.xmsgs
@@ -5,10 +5,10 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
-<msg type="info" file="Par" num="282" delta="new" >No user timing constraints were detected or you have set the option to ignore timing constraints (&quot;par -x&quot;). Place and Route will run in &quot;Performance Evaluation Mode&quot; to automatically improve the performance of all internal clocks in this design. Because there are not defined timing requirements, a timing score will not be reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. Note: For the fastest runtime, set the effort level to &quot;std&quot;. For best performance, set the effort level to &quot;high&quot;.
+<msg type="info" file="Par" num="282" delta="old" >No user timing constraints were detected or you have set the option to ignore timing constraints (&quot;par -x&quot;). Place and Route will run in &quot;Performance Evaluation Mode&quot; to automatically improve the performance of all internal clocks in this design. Because there are not defined timing requirements, a timing score will not be reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. Note: For the fastest runtime, set the effort level to &quot;std&quot;. For best performance, set the effort level to &quot;high&quot;.
</msg>
-<msg type="info" file="Par" num="459" delta="new" >The Clock Report is not displayed in the non timing-driven mode.
+<msg type="info" file="Par" num="459" delta="old" >The Clock Report is not displayed in the non timing-driven mode.
</msg>
</messages>
diff --git a/_xmsgs/pn_parser.xmsgs b/_xmsgs/pn_parser.xmsgs
index 2211003..ee464b0 100755
--- a/_xmsgs/pn_parser.xmsgs
+++ b/_xmsgs/pn_parser.xmsgs
@@ -1,12 +1,12 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!-- IMPORTANT: This is an internal file that has been generated -->
-<!-- by the Xilinx ISE software. Any direct editing or -->
-<!-- changes made to this file may result in unpredictable -->
-<!-- behavior or data corruption. It is strongly advised that -->
-<!-- users do not edit the contents of this file. -->
-<!-- -->
-<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
-
-<messages>
-</messages>
-
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- IMPORTANT: This is an internal file that has been generated -->
+<!-- by the Xilinx ISE software. Any direct editing or -->
+<!-- changes made to this file may result in unpredictable -->
+<!-- behavior or data corruption. It is strongly advised that -->
+<!-- users do not edit the contents of this file. -->
+<!-- -->
+<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
+
+<messages>
+</messages>
+
diff --git a/_xmsgs/trce.xmsgs b/_xmsgs/trce.xmsgs
index 120dfa1..80cb2e4 100755
--- a/_xmsgs/trce.xmsgs
+++ b/_xmsgs/trce.xmsgs
@@ -5,11 +5,11 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
-<msg type="info" file="Timing" num="2698" delta="new" >No timing constraints found, doing default enumeration.</msg>
+<msg type="info" file="Timing" num="2698" delta="old" >No timing constraints found, doing default enumeration.</msg>
-<msg type="info" file="Timing" num="2752" delta="new" >To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.</msg>
+<msg type="info" file="Timing" num="2752" delta="old" >To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.</msg>
-<msg type="info" file="Timing" num="3339" delta="new" >The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</msg>
+<msg type="info" file="Timing" num="3339" delta="old" >The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</msg>
</messages>
diff --git a/alu.bgn b/alu.bgn
index f3ad255..6d6d984 100755
--- a/alu.bgn
+++ b/alu.bgn
@@ -5,7 +5,7 @@ C:\Xilinx\13.3\ISE_DS\ISE\.
"ALU" is an NCD, version 3.2, device xc6slx16, package csg324, speed -3
Opened constraints file ALU.pcf.
-Wed Feb 15 15:16:39 2012
+Thu Feb 16 19:53:39 2012
C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64\unwrapped\bitgen.exe -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g Reset_on_err:No -g ConfigRate:2 -g ProgPin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g ExtMasterCclk_en:No -g SPI_buswidth:1 -g TIMER_CFG:0xFFFF -g multipin_wakeup:No -g StartUpClk:JtagClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g Persist:Yes -m -g ReadBack -g DonePipe:No -g DriveDone:No -g en_sw_gsr:No -g drive_awake:No -g sw_clk:Startupclk -g sw_gwe_cycle:5 -g sw_gts_cycle:4 ALU.ncd
diff --git a/alu.bit b/alu.bit
index a9ad5c0..4b4dd13 100755
--- a/alu.bit
+++ b/alu.bit
Binary files differ
diff --git a/alu.drc b/alu.drc
index 39644f9..f6dcace 100755
--- a/alu.drc
+++ b/alu.drc
@@ -1,7 +1,7 @@
Release 13.3 Drc O.76xd (nt64)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
-Wed Feb 15 15:16:39 2012
+Thu Feb 16 19:53:39 2012
drc -z ALU.ncd ALU.pcf
diff --git a/alu.msd b/alu.msd
index b05823c..48c0ca2 100755
--- a/alu.msd
+++ b/alu.msd
@@ -4,7 +4,7 @@ Design name: ALU.ncd;UserID=0xFFFFFFFF
Architecture: spartan6
Part: 6slx16csg324
Type: mask
-Date: Wed Feb 15 15:16:40 2012
+Date: Thu Feb 16 19:53:41 2012
Bits: 3711248
1111111111111111
1111111111111111
diff --git a/alu.msk b/alu.msk
index 4f0c2a8..000ece4 100755
--- a/alu.msk
+++ b/alu.msk
Binary files differ
diff --git a/alu.rbb b/alu.rbb
index 7cf8d8e..e027349 100755
--- a/alu.rbb
+++ b/alu.rbb
Binary files differ
diff --git a/alu.rbd b/alu.rbd
index f52b655..342558b 100755
--- a/alu.rbd
+++ b/alu.rbd
@@ -4,7 +4,7 @@ Design name: ALU.ncd;UserID=0xFFFFFFFF
Architecture: spartan6
Part: 6slx16csg324
Type: readback
-Date: Wed Feb 15 15:16:40 2012
+Date: Thu Feb 16 19:53:41 2012
Bits: 3711248
0000000000000000
0000000000000000
@@ -23535,10 +23535,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
-0000000000000000
-0000000000000000
-0000000000000000
+0000000010000000
0000000000000000
0000000000000000
0000000000000000
@@ -23554,6 +23551,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -23569,6 +23567,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -23585,6 +23584,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -23600,7 +23600,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000000001
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -25362,6 +25362,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -25377,6 +25378,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -25538,9 +25540,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000010000
-0000000000000000
-0000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -25555,6 +25554,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -25566,9 +25566,11 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -25585,8 +25587,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -25599,6 +25603,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000010000
0000000000000000
0000000000000000
0000000000000000
@@ -27321,7 +27326,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -27467,7 +27471,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -27508,7 +27511,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -27524,7 +27526,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -27541,7 +27542,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -27557,7 +27557,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000010000
0000000000000000
0000000000000000
0000000000000000
@@ -29475,6 +29474,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -29498,6 +29498,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -29527,7 +29528,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -29543,7 +29543,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -29560,7 +29559,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000010000
0000000000000000
0000000000000000
0000000000000000
@@ -31278,7 +31276,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -31294,7 +31291,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -31506,7 +31502,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -33294,6 +33289,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -33439,6 +33435,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -35397,7 +35394,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -35414,7 +35410,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -36954,6 +36949,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -36977,6 +36973,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -37002,7 +36999,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -40975,11 +40971,11 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -42807,7 +42803,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000001000000
0000000000000000
0000000000000000
0000000000000000
@@ -42889,7 +42884,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -44405,19 +44399,21 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -44426,11 +44422,14 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -44828,7 +44827,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -44880,8 +44878,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -44891,6 +44889,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -44898,6 +44897,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -44908,7 +44908,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000000010
0000000000000000
0000000000000000
0000000000000000
@@ -44960,6 +44959,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -45157,11 +45157,13 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0100000100000000
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
-0000001100000000
+0000000001000000
0000000000000000
0000000000000000
0000000000000000
@@ -46354,18 +46356,16 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -46375,13 +46375,11 @@ Bits: 3711248
0010000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -46769,7 +46767,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -46786,6 +46783,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -46918,7 +46916,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -47025,12 +47022,13 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000100000000000
0000000000000000
0000000000000000
0000000000000000
+1000100000000000
0000000000000000
0000000000000000
+0100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -47038,10 +47036,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000001000000000
-0000100000000000
0000000000000000
0000000000000000
+0100000000000000
0000100000000000
0000000000000000
0000000000000000
@@ -47173,7 +47170,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -47181,7 +47177,13 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0100000000000000
+0000000000000000
+0000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -47238,7 +47240,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -47246,7 +47247,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -47292,7 +47292,7 @@ Bits: 3711248
1000000000000000
0000000000000000
0000000000000000
-0000000000000100
+0000000000000101
0000000000000000
0000000000000000
0000000000000000
@@ -47305,8 +47305,8 @@ Bits: 3711248
1000000000000000
0000000000000000
0000000000000000
-0000000000000011
-1000000000000000
+0000000000100000
+0000000000000000
0000000000000000
0000000000000000
0000000000100000
@@ -47357,7 +47357,7 @@ Bits: 3711248
0000010000000000
0000000000000000
0000000000000000
-0000000000000001
+0000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -47371,11 +47371,11 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000001
-0000010000000000
+1000001000000000
0000000000000000
0000000000000000
0000000000000001
-0000010000000000
+1100000000000000
0000000000000000
0000000000000000
0000000000000001
@@ -54739,6 +54739,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -61888,6 +61889,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -70142,6 +70144,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -71712,6 +71715,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -71727,6 +71731,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -71775,6 +71780,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -71872,6 +71878,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -71937,6 +71944,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -71952,6 +71960,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -71975,6 +71984,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000100001
0000000000000000
0000000000000000
0000000000000000
@@ -71982,6 +71992,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -72082,6 +72093,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -72094,6 +72106,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000100000000
0000000000000000
0000000000000000
0000000000000000
@@ -72153,9 +72166,12 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001100000000
+0010000100000000
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -72215,6 +72231,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000001000000000
+1010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -72340,11 +72358,14 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0100000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
+0000000100000000
+0000000100000000
0000000000000000
0000000000000000
0000000000000000
@@ -72408,6 +72429,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
+0000100000000000
+0000000010100000
0000000000000000
0000000000000000
0000000000000000
@@ -72469,8 +72493,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0001000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -72599,6 +72625,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -72662,6 +72689,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1001000000000000
+1010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -72724,6 +72753,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000000010
+0000000000000010
+0000000000001000
+0000000000100000
0000000000000000
0000000000000000
0000000000000000
@@ -72785,6 +72818,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000010000000
+0000000010000000
0000000000000000
0000000000000000
0000000000000000
@@ -73045,6 +73080,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1110000010110000
+1101000011110000
0000000000000000
0000000000000000
0000000000000000
@@ -73108,6 +73145,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0101101001011010
+0101101001111100
0000000000000000
0000000000000000
0000000000000000
@@ -73236,6 +73275,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1111000000000000
+1111000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -73299,6 +73340,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1111000000000000
+1111000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -73363,6 +73406,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000000001
0000000000000000
0000000000000000
0000000000000000
@@ -73684,19 +73728,26 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
+0000100000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
+1000100000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
+0010000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -73704,6 +73755,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -73712,12 +73764,14 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -73726,6 +73780,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -73738,7 +73793,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000000000000000
0000000000000000
+1000000000000000
+1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -73801,8 +73859,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100100000000
0000000000000000
0000000000000000
+0000000100000000
0000000000000000
0000000000000000
0000000000000000
@@ -73864,8 +73924,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1100000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -73885,6 +73947,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -73893,11 +73956,14 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -73986,9 +74052,11 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
+0000000010000100
0000000000000000
0000000000000000
0000000000000000
@@ -74052,6 +74120,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -74116,6 +74185,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -74177,9 +74247,13 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
+0000100100000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
+0000000000010000
0000000000000000
0000000000000000
0000000000000000
@@ -74239,9 +74313,12 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000100000000000
0000000000000000
+1000000000000000
0000000000000000
0000000000000000
+0000000000000001
0000000000000000
0000000000000000
0000000000000000
@@ -74308,6 +74385,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -74430,8 +74508,14 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1001000110100000
+0000000010100000
+0000000010100000
+0000100000010000
0000000000000000
+0000000000000010
0000000000000000
+0000000000000010
0000000000000000
0000000000000000
0000000000000000
@@ -74489,9 +74573,14 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0001000010000000
0000000000000000
+0000000010000000
+1000000010000000
0000000000000000
+0000000010000000
0000000000000000
+0000000010000000
0000000000000000
0000000000000000
0000000000000000
@@ -74549,6 +74638,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1010000100000000
+1010000100100001
+1010000110000000
+0000000000001001
0000000000000000
0000000000000000
0000000000000000
@@ -74610,6 +74703,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1010000110010000
+1010000100000000
+1010000100000010
0000000000000000
0000000000000000
0000000000000000
@@ -74672,6 +74768,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1010000011000000
+0000000000000010
+0000000010000010
+0000000010000010
0000000000000000
0000000000000000
0000000000000000
@@ -74734,7 +74834,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000010000000
0000000000000000
+0000100100000000
0000000000000000
0000000000000000
0000000000000000
@@ -74796,7 +74898,12 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0100000000100000
+1000001100000000
+0000001010000000
+0000001000000000
0000000000000000
+1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -74856,8 +74963,14 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1100000110100001
+1000001000000000
+1000001101000000
+1000001100000000
0000000000000000
+1010000000000000
0000000000000000
+1000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -74980,6 +75093,12 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1111111111111111
+1001011010100101
+0101001001001010
+0110111101110110
+1100001100000000
+1100001100000000
0000000000000000
0000000000000000
0000000000000000
@@ -75039,6 +75158,12 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000000100
+1010101001010000
+1100000011111100
+1110000011011100
+1100001100000000
+1100001100000000
0000000000000000
0000000000000000
0000000000000000
@@ -75100,6 +75225,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001100001100
+0000001100001100
+1100001100000000
+1100001100000000
0000000000000000
0000000000000000
0000000000000000
@@ -75159,6 +75288,12 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001111000000
+1100001111000000
+1111000000000000
+1111000000000000
+1100001100000000
+1100001100000000
0000000000000000
0000000000000000
0000000000000000
@@ -75218,6 +75353,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000001100001
+0000000000000110
+0000000000001101
0000000000000000
0000000000000000
0000000000000000
@@ -75280,6 +75418,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1110001111000111
+0011110010111100
0000000000000000
0000000000000000
0000000000000000
@@ -75343,6 +75483,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1100011111000011
+1100001111000011
0000000000000000
0000000000000000
0000000000000000
@@ -75408,6 +75550,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000111100
+0000000000111100
0000000000000000
0000000000000000
0000000000000000
@@ -75471,6 +75615,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1111110000000000
+1111110000000000
0000000000000000
0000000000000000
0000000000000000
@@ -75678,10 +75824,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
-0000100000000000
-0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -75694,10 +75837,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
-0010000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -75714,7 +75854,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -75730,7 +75869,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -75743,10 +75881,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000000000000000
0000000000000000
-1000000000000000
-1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -75806,13 +75941,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
-0000000100000000
-0000000100000000
-0000000100000000
-0000000100000000
-0000000100000000
0000000000000000
0000000000000000
0000000000000000
@@ -75841,9 +75972,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -75873,11 +76002,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0100000000000000
-0000100000000000
-0100000000000000
-0100000000000000
-0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -75901,7 +76025,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -75917,7 +76040,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -75949,7 +76071,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -76063,7 +76184,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -76146,6 +76266,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -76193,17 +76314,12 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
0000000000000000
-1100000000000000
0000000000000000
-0000100000000000
0000000000000000
-0000100000000000
0000000000000000
0000000000000000
-0000000000010000
0000000000000000
0000000000000000
0000000000000000
@@ -76263,12 +76379,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000000000000000
0000000000000000
-1000000000000000
0000000000000000
0000000000000000
-0000000000000001
0000000000000000
0000000000000000
0000000000000000
@@ -76329,7 +76442,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -76394,7 +76506,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -76458,14 +76569,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1001000110100001
-0000000010100000
-1000100010100001
-0100000000010000
0000000000000000
-0000000000000010
0000000000000000
-0000000000000010
0000000000000000
0000000000000000
0000000000000000
@@ -76523,14 +76628,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0001000010010000
-0100000110000011
-1000000010000101
-0000000010000010
0000000000000000
-0000000010000000
0000000000000000
-0000000010000000
0000000000000000
0000000000000000
0000000000000000
@@ -76588,12 +76687,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1001000010000000
-0000001100000011
-1001000010100001
-0100000000000000
0000000000000000
-0000000000000101
0000000000000000
0000000000000000
0000000000000000
@@ -76653,10 +76747,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1010000110100000
0000000000000000
-1010000001000001
-0000000001000000
0000000000000000
0000000000000000
0000000000000000
@@ -76718,10 +76809,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1010000000000011
-0000000000100000
-0000000110000101
-0000000000000010
0000000000000000
0000000000000000
0000000000000000
@@ -76783,10 +76870,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000000010100001
-0010000000000000
-0000010110100001
-0001000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -76848,24 +76931,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000100100001
-1000000010000000
-0000000010000000
-0000000000000010
-0000000000000000
-1000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -76913,17 +76978,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000100100001
-1000001000100000
-0100000010100000
-0000001000000000
-0000000000000000
-1010000000000000
-0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -77043,10 +77097,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1110111101011110
-0010110101011110
-1111111101011100
-1001100111111111
0000000000000000
0000000000000000
0000000000000000
@@ -77108,12 +77158,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1111111101100110
-0011110001100110
-1101111100111100
-1110011000000000
-0000000011111111
-0000000011111111
0000000000000000
0000000000000000
0000000000000000
@@ -77238,14 +77282,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1100001100000000
-0000001100000000
-0010010001000010
-0010010001000010
-0000000011000011
-0000000011000011
-0000000000000000
-0000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -77303,12 +77339,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1100000000000000
-1100000000000000
-0000000010011001
-0000000010011001
-0000000011000011
-0000000011000011
0000000000000000
0000000000000000
0000000000000000
@@ -77368,9 +77398,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000000001100001
-0000000000000110
-0000000000001101
0000000000000000
0000000000000000
0000000000000000
@@ -77434,9 +77461,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1010101010101010
-0010100000101000
-0010100000101000
0000000000000000
0000000000000000
0000000000000000
@@ -77499,9 +77523,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1010101010101010
-1000001011000011
-1000001011000011
0000000000000000
0000000000000000
0000000000000000
@@ -77563,10 +77584,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1100011111010111
-0001010001010000
-1010100000100001
-1010101000000010
0000000000000000
0000000000000000
0000000000000000
@@ -77628,10 +77645,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1111001100110100
-1111111100111100
-1111111110101010
-1111111110101010
0000000000000000
0000000000000000
0000000000000000
@@ -77884,8 +77897,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -77920,7 +77935,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -77936,7 +77950,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -77968,7 +77981,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -78015,7 +78027,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0100000100000000
0000000000000000
0000000000000000
0000000000000000
@@ -78023,9 +78034,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000010000010
0000000000000000
-0000000010100000
0000000000000000
0000000000000000
0000000000000000
@@ -78115,7 +78124,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000100000
0000000000000000
+0000000000100000
0000000000000000
0000000000000000
0000000000000000
@@ -78204,10 +78215,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
0000000000000000
-1100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -78221,14 +78230,12 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000000011
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -78281,7 +78288,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000100000000
0000000000000000
0000000000000000
0000000000000000
@@ -78342,7 +78348,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -79651,10 +79656,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -79671,7 +79674,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -79687,7 +79689,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -79782,10 +79783,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000010000000000
-0000010000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -79863,8 +79861,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
-0000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -80072,6 +80068,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -80144,6 +80141,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001100000000
0000000000000000
0000000000000000
0000000000000000
@@ -80403,6 +80401,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001100000000
0000000000000000
0000000000000000
0000000000000000
@@ -81676,6 +81675,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -81789,6 +81789,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -81808,6 +81809,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -81827,9 +81829,11 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0100000000000000
0000000000000000
0000000000000000
0000000000000000
+0100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -81890,9 +81894,11 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000000000000000
0000000000000000
0000000000000000
0000000000000000
+1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -82076,6 +82082,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -82089,6 +82096,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -82151,7 +82159,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000100000000
0000000000000000
+1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -82339,6 +82349,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
+1000001000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -82466,9 +82479,13 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000000001
+0000000000000001
+0000000000000001
0000000000000000
0000000000000000
0000000000000000
+0000010000000001
0000000000000000
0000000000000000
0000000000000000
@@ -82527,7 +82544,13 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000000100
+0000000000100000
+0000000000000100
0000000000000000
+0000000000000101
+0000000000000101
+1000000000000010
0000000000000000
0000000000000000
0000000000000000
@@ -82722,6 +82745,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000000001
0000000000000000
0000000000000000
0000000000000000
@@ -82781,8 +82805,12 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0100000000000000
0000000000000000
0000000000000000
+0000000000000101
+0000000000000101
+0000010100000010
0000000000000000
0000000000000000
0000000000000000
@@ -83296,7 +83324,13 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000001100001
+0000000000000110
+0000000000001101
0000000000000000
+0000000001100001
+0000000000000110
+0000000000001101
0000000000000000
0000000000000000
0000000000000000
@@ -83361,6 +83395,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0001000001001001
+0001000001001001
0000000000000000
0000000000000000
0000000000000000
@@ -83424,6 +83460,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0001000001001001
+0001000001001001
0000000000000000
0000000000000000
0000000000000000
@@ -83481,9 +83519,12 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1010111111110101
+0000101000000101
0000000000000000
0000000000000000
0000000000000000
+1111000000001111
0000000000000000
0000000000000000
0000000000000000
@@ -83543,6 +83584,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1010111111110101
+0000101000000101
0000000000000000
0000000000000000
0000000000000000
@@ -83745,6 +83788,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -84031,9 +84075,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -84096,7 +84138,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -84104,9 +84145,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001100000000
0000000000000000
-0000001100000000
0000000000000000
0000000000000000
0000000000000000
@@ -84366,7 +84405,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001100000000
0000000000000000
0000000000000000
0000000000000000
@@ -85216,7 +85254,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -85281,7 +85318,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -85339,11 +85375,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -85404,11 +85438,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000000000000000
0000000000000000
0000000000000000
0000000000000000
-1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -85595,7 +85627,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -85670,7 +85701,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0100000100000000
0000000000000000
0000000000000000
0000000000000000
@@ -85729,10 +85759,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1010000000000000
0000000000000000
0000000000000000
-1000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -85855,7 +85883,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -85863,7 +85890,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000000010
0000000000000000
0000000000000000
0000000000000000
@@ -86120,7 +86146,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000100000
0000000000000000
0000000000000000
0000000000000000
@@ -86185,12 +86210,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000000001
0000000000000000
0000000000000000
-1000001000000000
0000000000000000
-1000001010000010
0000000000000000
0000000000000000
0000000000000000
@@ -86379,13 +86401,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
-1000000010000000
-1000000010000000
0000000000000000
0000000000000000
0000000000000000
@@ -86444,13 +86463,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
-1001000000000000
-0100000000000000
-1000001000000000
-0000001000000010
-0000001000000010
0000000000000000
0000000000000000
0000000000000000
@@ -86574,12 +86587,9 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1111110011111100
-1100110011001100
0000000000000000
0000000000000000
0000000000000000
-0000011001100000
0000000000000000
0000000000000000
0000000000000000
@@ -86639,12 +86649,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1100111111001111
0000000000000000
0000000000000000
0000000000000000
0000000000000000
-0000011001100000
0000000000000000
0000000000000000
0000000000000000
@@ -86775,8 +86783,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1100001100011000
-1100001100011000
0000000000000000
0000000000000000
0000000000000000
@@ -86840,8 +86846,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010010000000000
-0010010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -87193,7 +87197,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -87210,7 +87213,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -87322,7 +87324,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -87364,7 +87365,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -87390,7 +87390,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -87811,7 +87810,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -89331,6 +89329,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -89350,6 +89349,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -89369,9 +89369,11 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -90974,7 +90976,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -91002,6 +91003,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -92780,6 +92782,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -92796,8 +92799,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -92810,15 +92815,16 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -94732,7 +94738,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -94749,10 +94754,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -94765,16 +94768,13 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -99012,6 +99012,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -99027,6 +99028,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -99043,6 +99045,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -99253,6 +99256,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -118045,6 +118049,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -118060,6 +118065,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -118076,6 +118082,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -118286,6 +118293,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -120068,6 +120076,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -120083,6 +120092,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -120099,6 +120109,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -120634,6 +120645,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -122075,6 +122087,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -122090,6 +122103,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -122106,6 +122120,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -122121,6 +122136,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -122575,6 +122591,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -124033,15 +124050,11 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
-0000000000000000
-0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -124049,7 +124062,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -124057,7 +124069,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -124066,7 +124077,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -124074,15 +124084,14 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
+1000010000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -124480,7 +124489,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -126044,7 +126052,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -126052,7 +126059,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -126060,7 +126066,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -126068,7 +126073,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -126077,7 +126081,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -126085,7 +126088,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -126093,7 +126095,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -126101,7 +126102,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -147372,6 +147372,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -147387,6 +147388,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -147403,6 +147405,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -147418,6 +147421,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -147750,6 +147754,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0001000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -147814,6 +147819,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -149046,6 +149052,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000000001
0000000000000000
0000000000000000
0000000000000000
@@ -149239,6 +149246,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -164520,6 +164528,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000100000000
0000000000000000
0000000000000000
0000000000000000
@@ -164528,7 +164537,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -164585,6 +164593,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -164593,7 +164602,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -164788,7 +164796,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001000
0000000000000000
0000000000000000
0000000000000000
@@ -164853,7 +164860,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000000001
0000000000000000
0000000000000000
0000000000000000
@@ -166080,13 +166086,13 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
0000000000000000
-0000000000001001
0000000000000000
0000000000000000
0000000000000000
@@ -166277,13 +166283,12 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000001000000
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
-0000000001000000
0000000000000000
0000000000000000
0000000000000000
@@ -166404,6 +166409,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -166419,6 +166425,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -166435,6 +166442,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -166450,6 +166458,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -166465,6 +166474,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+1000000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -166529,6 +166539,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000100000000
0000000000000000
0000000000000000
0000000000000000
@@ -166539,7 +166550,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0001000100000000
0000000000000000
0000000000000000
0000000000000000
@@ -166594,6 +166604,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0001000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -166604,7 +166615,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1100000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -168087,6 +168097,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000000000001001
0000000000000000
0000000000000000
0000000000000000
@@ -168097,7 +168108,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000000000001001
0000000000000000
0000000000000000
0000000000000000
@@ -168281,8 +168291,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000001000000000
0000000000000000
0000000000000000
+0000000001000000
0000000000000000
0000000000000000
0000000000000000
@@ -168291,10 +168303,8 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
-0000000001000000
0000000000000000
0000000000000000
0000000000000000
@@ -168426,6 +168436,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -168441,6 +168452,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -168457,6 +168469,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -168472,6 +168485,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -168623,7 +168637,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001100000000
0000000000000000
0000000000000000
0000000000000000
@@ -170434,6 +170447,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -170449,6 +170463,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -170465,6 +170480,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -170480,6 +170496,7 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -170642,7 +170659,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -172393,7 +172409,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -172401,7 +172416,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -172409,7 +172423,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -172417,7 +172430,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -172426,7 +172438,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -172434,7 +172445,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -172442,7 +172452,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -172450,7 +172459,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -174404,7 +174412,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000001000000000
0000000000000000
0000000000000000
0000000000000000
@@ -174420,7 +174427,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -174428,7 +174434,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-1000100000000000
0000000000000000
0000000000000000
0000000000000000
@@ -174437,7 +174442,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -174445,7 +174449,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -174453,7 +174456,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -174461,7 +174463,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0010000000000000
0000000000000000
0000000000000000
0000000000000000
@@ -174810,7 +174811,6 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
-0000010000000000
0000000000000000
0000000000000000
0000000000000000
@@ -231427,10 +231427,10 @@ Bits: 3711248
0000000000000000
0000000000000000
0000000000000000
+0000011100000001
0000000000000000
-0000000000000000
-0000000000000000
-0000000000000000
+0000000000100100
+0000000000001011
0000000000000000
0000000000000000
0000000000000000
@@ -231531,10 +231531,10 @@ Bits: 3711248
0000000000000000
0000000000100100
0000000000001011
-0000011100000001
0000000000000000
-0000000000100100
-0000000000001011
+0000000000000000
+0000000000000000
+0000000000000000
0000011100000001
0000000000000000
0000000000100100
diff --git a/impact_impact.xwbt b/impact_impact.xwbt
index 597ede0..533b0c2 100755
--- a/impact_impact.xwbt
+++ b/impact_impact.xwbt
@@ -1,8 +1,8 @@
INTSTYLE=impact
-INFILE=X:\My Documents\ec311\lab1\impact.xsl
-OUTFILE=X:\My Documents\ec311\lab1\impact.xsl
+INFILE=X:\My Documents\ec311\ec311-lab1\impact.xsl
+OUTFILE=X:\My Documents\ec311\ec311-lab1\impact.xsl
FAMILY=Single
PART=Single
-WORKINGDIR=X:\My Documents\ec311\lab1
+WORKINGDIR=X:\My Documents\ec311\ec311-lab1
LICENSE=iMPACT
USER_INFO=iMPACT
diff --git a/iseconfig/ALU.xreport b/iseconfig/ALU.xreport
index dbe97f4..20fba66 100755
--- a/iseconfig/ALU.xreport
+++ b/iseconfig/ALU.xreport
@@ -1,11 +1,11 @@
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
- <DateModified>2012-02-15T19:01:09</DateModified>
+ <DateModified>2012-02-16T18:37:40</DateModified>
<ModuleName>ALU</ModuleName>
- <SummaryTimeStamp>Unknown</SummaryTimeStamp>
- <SavedFilePath>/home/michael/Documents/School/EC311/lab1/iseconfig/ALU.xreport</SavedFilePath>
- <ImplementationReportsDirectory>/home/michael/Documents/School/EC311/lab1/</ImplementationReportsDirectory>
+ <SummaryTimeStamp>2012-02-16T18:30:33</SummaryTimeStamp>
+ <SavedFilePath>X:/My Documents/ec311/ec311-lab1/iseconfig/ALU.xreport</SavedFilePath>
+ <ImplementationReportsDirectory>X:/My Documents/ec311/ec311-lab1\</ImplementationReportsDirectory>
<DateInitialized>2012-02-15T14:52:54</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
diff --git a/iseconfig/lab1.projectmgr b/iseconfig/lab1.projectmgr
index 6dc197a..2b2f3c6 100755
--- a/iseconfig/lab1.projectmgr
+++ b/iseconfig/lab1.projectmgr
@@ -1,79 +1,77 @@
-<?xml version='1.0' encoding='utf-8'?>
-<!--This is an ISE project configuration file.-->
-<!--It holds project specific layout data for the projectmgr plugin.-->
-<!--Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.-->
-<Project version="2" owner="projectmgr" name="lab1" >
- <!--This is an ISE project configuration file.-->
- <ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
- <ClosedNodes>
- <ClosedNodesVersion>2</ClosedNodesVersion>
- <ClosedNode>/ALU X:|My Documents|ec311|lab1|ALU.sch/XLXI_1 - Divide</ClosedNode>
- <ClosedNode>/ALU X:|My Documents|ec311|lab1|ALU.sch/XLXI_2 - Modulo</ClosedNode>
- <ClosedNode>/ALU X:|My Documents|ec311|lab1|ALU.sch/XLXI_3 - Negate</ClosedNode>
- </ClosedNodes>
- <SelectedItems>
- <SelectedItem>ALU (/home/michael/Documents/School/EC311/lab1/ALU.sch)</SelectedItem>
- </SelectedItems>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000150000000020000000000000000000000000200000064ffffffff000000810000000300000002000001500000000100000003000000000000000100000003</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
- <CurrentItem>ALU (/home/michael/Documents/School/EC311/lab1/ALU.sch)</CurrentItem>
- </ItemView>
- <ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
- <ClosedNodes>
- <ClosedNodesVersion>1</ClosedNodesVersion>
- </ClosedNodes>
- <SelectedItems>
- <SelectedItem>Update All Schematic Files</SelectedItem>
- </SelectedItems>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem>Update All Schematic Files</CurrentItem>
- </ItemView>
- <ItemView guiview="File" >
- <ClosedNodes>
- <ClosedNodesVersion>1</ClosedNodesVersion>
- </ClosedNodes>
- <SelectedItems>
- <SelectedItem>Modulo_1.sch</SelectedItem>
- </SelectedItems>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000287000000040101000100000000000000000000000064ffffffff0000008100000000000000040000008300000001000000000000002400000001000000000000006600000001000000000000017a0000000100000000</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem>Modulo_1.sch</CurrentItem>
- </ItemView>
- <ItemView guiview="Library" >
- <ClosedNodes>
- <ClosedNodesVersion>1</ClosedNodesVersion>
- <ClosedNode>work</ClosedNode>
- </ClosedNodes>
- <SelectedItems/>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000117000000010001000100000000000000000000000064ffffffff000000810000000000000001000001170000000100000000</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem>work</CurrentItem>
- </ItemView>
- <ItemView engineview="SynthesisOnly" sourcetype="DESUT_SCHEMATIC" guiview="Process" >
- <ClosedNodes>
- <ClosedNodesVersion>1</ClosedNodesVersion>
- <ClosedNode>Implement Design/Map</ClosedNode>
- <ClosedNode>Implement Design/Place &amp; Route</ClosedNode>
- <ClosedNode>Implement Design/Translate</ClosedNode>
- </ClosedNodes>
- <SelectedItems>
- <SelectedItem></SelectedItem>
- </SelectedItems>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000173000000010000000100000000000000000000000064ffffffff000000810000000000000001000001730000000100000000</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem></CurrentItem>
- </ItemView>
- <SourceProcessView>000000ff00000000000000020000011b0000011b01000000050100000002</SourceProcessView>
- <CurrentView>Implementation</CurrentView>
-</Project>
+<?xml version='1.0' encoding='utf-8'?>
+<!--This is an ISE project configuration file.-->
+<!--It holds project specific layout data for the projectmgr plugin.-->
+<!--Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.-->
+<Project version="2" owner="projectmgr" name="lab1" >
+ <!--This is an ISE project configuration file.-->
+ <ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
+ <ClosedNodes>
+ <ClosedNodesVersion>2</ClosedNodesVersion>
+ <ClosedNode>/ALU X:|My Documents|ec311|lab1|ALU.sch/XLXI_1 - Divide</ClosedNode>
+ <ClosedNode>/ALU X:|My Documents|ec311|lab1|ALU.sch/XLXI_2 - Modulo</ClosedNode>
+ <ClosedNode>/ALU X:|My Documents|ec311|lab1|ALU.sch/XLXI_3 - Negate</ClosedNode>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem>ALU (X:/My Documents/ec311/ec311-lab1/ALU.sch)</SelectedItem>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000012b000000020000000000000000000000000200000064ffffffff0000008100000003000000020000012b0000000100000003000000000000000100000003</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
+ <CurrentItem>ALU (X:/My Documents/ec311/ec311-lab1/ALU.sch)</CurrentItem>
+ </ItemView>
+ <ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem>Update All Schematic Files</SelectedItem>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000140000000010000000100000000000000000000000064ffffffff000000810000000000000001000001400000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem>Update All Schematic Files</CurrentItem>
+ </ItemView>
+ <ItemView guiview="File" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ </ClosedNodes>
+ <SelectedItems/>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000171000000040101000100000000000000000000000064ffffffff000000810000000000000004000000830000000100000000000000240000000100000000000000660000000100000000000000640000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem>Modulo_0.sch</CurrentItem>
+ </ItemView>
+ <ItemView guiview="Library" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ <ClosedNode>work</ClosedNode>
+ </ClosedNodes>
+ <SelectedItems/>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000140000000010001000100000000000000000000000064ffffffff000000810000000000000001000001400000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem>work</CurrentItem>
+ </ItemView>
+ <ItemView engineview="SynthesisOnly" sourcetype="DESUT_SCHEMATIC" guiview="Process" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ <ClosedNode>Implement Design/Map</ClosedNode>
+ <ClosedNode>Implement Design/Place &amp; Route</ClosedNode>
+ <ClosedNode>Implement Design/Translate</ClosedNode>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem>Generate Programming File</SelectedItem>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >9</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000012f000000010000000100000000000000000000000064ffffffff0000008100000000000000010000012f0000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem>Generate Programming File</CurrentItem>
+ </ItemView>
+ <SourceProcessView>000000ff00000000000000020000011b0000011b01000000050100000002</SourceProcessView>
+ <CurrentView>Implementation</CurrentView>
+</Project>
diff --git a/lab1.gise b/lab1.gise
index 054a65f..68616f9 100755
--- a/lab1.gise
+++ b/lab1.gise
@@ -36,6 +36,7 @@
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="ALU.prj"/>
<file xil_pn:fileType="FILE_TRCE_MISC" xil_pn:name="ALU.ptwx"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_STX" xil_pn:name="ALU.stx"/>
+ <file xil_pn:fileType="FILE_SYMBOL" xil_pn:name="ALU.sym" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_REPORT" xil_pn:name="ALU.syr"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_TXT_REPORT" xil_pn:name="ALU.twr" xil_pn:subbranch="Par"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_XML_REPORT" xil_pn:name="ALU.twx" xil_pn:subbranch="Par"/>
@@ -44,6 +45,7 @@
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VERILOG" xil_pn:name="ALU.vf"/>
<file xil_pn:fileType="FILE_XPI" xil_pn:name="ALU.xpi"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="ALU.xst"/>
+ <file xil_pn:fileType="FILE_HTML" xil_pn:name="ALU_envsettings.html"/>
<file xil_pn:fileType="FILE_NCD" xil_pn:name="ALU_guide.ncd" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="ALU_map.map" xil_pn:subbranch="Map"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="ALU_map.mrp" xil_pn:subbranch="Map"/>
@@ -98,6 +100,7 @@
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_DRC" xil_pn:name="alu.drc" xil_pn:subbranch="FPGAConfiguration"/>
<file xil_pn:fileType="FILE_MSK" xil_pn:name="alu.msk"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="planAhead_run_1"/>
+ <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="planAhead_run_2"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VERILOG" xil_pn:name="sev_seg_disp.vf"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="usage_statistics_webtalk.html"/>
<file xil_pn:fileType="FILE_LOG" xil_pn:name="webtalk.log"/>
@@ -115,11 +118,9 @@
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
- <transform xil_pn:end_ts="1329336928" xil_pn:in_ck="-1529285955265280609" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-1120318093780454153" xil_pn:start_ts="1329336925">
+ <transform xil_pn:end_ts="1329439954" xil_pn:in_ck="-1529285955265280609" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-1120318093780454153" xil_pn:start_ts="1329439949">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForInputs"/>
- <status xil_pn:value="InputChanged"/>
<outfile xil_pn:name="ALU.vf"/>
<outfile xil_pn:name="Divide.vf"/>
<outfile xil_pn:name="Divide_0.vf"/>
@@ -152,18 +153,15 @@
<transform xil_pn:end_ts="1329336928" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="1106364426758808884" xil_pn:start_ts="1329336928">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForPredecessor"/>
</transform>
<transform xil_pn:end_ts="1329336928" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="-4186483203912133424" xil_pn:start_ts="1329336928">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForPredecessor"/>
</transform>
- <transform xil_pn:end_ts="1329336941" xil_pn:in_ck="-5492412754742126177" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="-819365665305975787" xil_pn:start_ts="1329336928">
+ <transform xil_pn:end_ts="1329439966" xil_pn:in_ck="-5492412754742126177" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="-819365665305975787" xil_pn:start_ts="1329439954">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="ALU.jhd"/>
@@ -179,25 +177,22 @@
<outfile xil_pn:name="webtalk_pn.xml"/>
<outfile xil_pn:name="xst"/>
</transform>
- <transform xil_pn:end_ts="1329336941" xil_pn:in_ck="87022295022" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="3734212952555236" xil_pn:start_ts="1329336941">
+ <transform xil_pn:end_ts="1329434300" xil_pn:in_ck="87022295022" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="3734212952555236" xil_pn:start_ts="1329434300">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForPredecessor"/>
</transform>
- <transform xil_pn:end_ts="1329336948" xil_pn:in_ck="958840011568711062" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="-1233222934028612217" xil_pn:start_ts="1329336941">
+ <transform xil_pn:end_ts="1329439973" xil_pn:in_ck="958840011568711062" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="-1233222934028612217" xil_pn:start_ts="1329439966">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="ALU.bld"/>
<outfile xil_pn:name="ALU.ngd"/>
<outfile xil_pn:name="ALU_ngdbuild.xrpt"/>
<outfile xil_pn:name="_ngo"/>
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
</transform>
- <transform xil_pn:end_ts="1329336962" xil_pn:in_ck="958840054187154039" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="1463976855095865663" xil_pn:start_ts="1329336948">
+ <transform xil_pn:end_ts="1329439986" xil_pn:in_ck="958840054187154039" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="1463976855095865663" xil_pn:start_ts="1329439973">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="ALU.pcf"/>
@@ -210,10 +205,9 @@
<outfile xil_pn:name="ALU_usage.xml"/>
<outfile xil_pn:name="_xmsgs/map.xmsgs"/>
</transform>
- <transform xil_pn:end_ts="1329336993" xil_pn:in_ck="5688090717086154096" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="-1178055513630676559" xil_pn:start_ts="1329336962">
+ <transform xil_pn:end_ts="1329440014" xil_pn:in_ck="5688090717086154096" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="-1178055513630676559" xil_pn:start_ts="1329439986">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="ALU.ncd"/>
<outfile xil_pn:name="ALU.pad"/>
<outfile xil_pn:name="ALU.par"/>
@@ -225,12 +219,9 @@
<outfile xil_pn:name="ALU_par.xrpt"/>
<outfile xil_pn:name="_xmsgs/par.xmsgs"/>
</transform>
- <transform xil_pn:end_ts="1329337024" xil_pn:in_ck="87022287397" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="8036697451854927384" xil_pn:start_ts="1329336993">
+ <transform xil_pn:end_ts="1329440044" xil_pn:in_ck="87022287397" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="8036697451854927384" xil_pn:start_ts="1329440014">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForPredecessor"/>
- <status xil_pn:value="OutOfDateForOutputs"/>
- <status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="ALU.ut"/>
<outfile xil_pn:name="_xmsgs/bitgen.xmsgs"/>
<outfile xil_pn:name="alu.bgn"/>
@@ -241,15 +232,21 @@
<outfile xil_pn:name="webtalk.log"/>
<outfile xil_pn:name="webtalk_pn.xml"/>
</transform>
- <transform xil_pn:end_ts="1329337061" xil_pn:in_ck="129639531599" xil_pn:name="TRAN_impactProgrammingTool" xil_pn:prop_ck="2682241697568822907" xil_pn:start_ts="1329337061">
+ <transform xil_pn:end_ts="1329434416" xil_pn:in_ck="129639531599" xil_pn:name="TRAN_impactProgrammingTool" xil_pn:prop_ck="2682241697568822907" xil_pn:start_ts="1329434416">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForPredecessor"/>
+ <status xil_pn:value="OutOfDateForInputs"/>
+ <status xil_pn:value="InputChanged"/>
+ </transform>
+ <transform xil_pn:end_ts="1329434415" xil_pn:in_ck="129639531599" xil_pn:name="TRAN_genImpactFile" xil_pn:prop_ck="-7047989797201823252" xil_pn:start_ts="1329434413">
+ <status xil_pn:value="SuccessfullyRun"/>
+ <status xil_pn:value="ReadyToRun"/>
+ <status xil_pn:value="OutOfDateForInputs"/>
+ <status xil_pn:value="InputChanged"/>
</transform>
- <transform xil_pn:end_ts="1329336993" xil_pn:in_ck="958834428552681075" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416185" xil_pn:start_ts="1329336985">
+ <transform xil_pn:end_ts="1329440014" xil_pn:in_ck="958834428552681075" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416185" xil_pn:start_ts="1329440006">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="ALU.twr"/>
<outfile xil_pn:name="ALU.twx"/>
<outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
diff --git a/lab1.xise b/lab1.xise
index 5bf0c30..9526429 100755
--- a/lab1.xise
+++ b/lab1.xise
@@ -12,7 +12,7 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="13.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="13.3" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="ALU.sch" xil_pn:type="FILE_SCHEMATIC">
@@ -109,7 +109,7 @@
<property xil_pn:name="Change Device Speed To" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
- <property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
@@ -226,7 +226,6 @@
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
- <property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
diff --git a/pa.fromHdl.tcl b/pa.fromHdl.tcl
index 68516e9..42b9671 100755
--- a/pa.fromHdl.tcl
+++ b/pa.fromHdl.tcl
@@ -1,7 +1,7 @@
# PlanAhead Launch Script for Pre-Synthesis Floorplanning, created by Project Navigator
-create_project -name lab1 -dir "X:/My Documents/ec311/lab1/planAhead_run_1" -part xc6slx16csg324-3
+create_project -name lab1 -dir "X:/My Documents/ec311/ec311-lab1/planAhead_run_1" -part xc6slx16csg324-3
set_param project.pinAheadLayout yes
set srcset [get_property srcset [current_run -impl]]
set_property top ALU $srcset
diff --git a/pa.fromNetlist.tcl b/pa.fromNetlist.tcl
index d74db7f..0391841 100755
--- a/pa.fromNetlist.tcl
+++ b/pa.fromNetlist.tcl
@@ -1,10 +1,10 @@
# PlanAhead Launch Script for Post-Synthesis pin planning, created by Project Navigator
-create_project -name lab1 -dir "X:/My Documents/ec311/lab1/planAhead_run_1" -part xc6slx16csg324-3
+create_project -name lab1 -dir "X:/My Documents/ec311/ec311-lab1/planAhead_run_2" -part xc6slx16csg324-3
set_property design_mode GateLvl [get_property srcset [current_run -impl]]
-set_property edif_top_file "X:/My Documents/ec311/lab1/ALU.ngc" [ get_property srcset [ current_run ] ]
-add_files -norecurse { {X:/My Documents/ec311/lab1} }
+set_property edif_top_file "X:/My Documents/ec311/ec311-lab1/ALU.ngc" [ get_property srcset [ current_run ] ]
+add_files -norecurse { {X:/My Documents/ec311/ec311-lab1} }
set_param project.pinAheadLayout yes
set_property target_constrs_file "ALU.ucf" [current_fileset -constrset]
add_files [list {ALU.ucf}] -fileset [get_property constrset [current_run]]
diff --git a/par_usage_statistics.html b/par_usage_statistics.html
index 00cf4de..3088792 100755
--- a/par_usage_statistics.html
+++ b/par_usage_statistics.html
@@ -6,20 +6,20 @@
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>63</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>4.6 sec</xtag-par-property-value></TD></TR>
-<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>4.6 sec</xtag-par-property-value></TD></TR>
-<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>5.4 sec</xtag-par-property-value></TD></TR>
-<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>6.1 sec</xtag-par-property-value></TD></TR>
-<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>6.2 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>4.7 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>5.5 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>6.3 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>6.3 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>6.3 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>6.3 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>6.3 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>6.3 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>6.3 sec</xtag-par-property-value></TD></TR>
-<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>25.0</xtag-par-property-value></TD></TR>
-<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>0.5</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>27.0</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>1.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
-<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>37.8</xtag-par-property-value></TD></TR>
-<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>5.6</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>38.3</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>5.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
@@ -27,6 +27,6 @@
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 20000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
-<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0040</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0011</xtag-par-property-value></TD></TR>
</xtag-section>
</TABLE>
diff --git a/planAhead.ngc2edif.log b/planAhead.ngc2edif.log
index 00c1f0a..cf6c2fc 100755
--- a/planAhead.ngc2edif.log
+++ b/planAhead.ngc2edif.log
@@ -9,3 +9,91 @@ Processing design ...
Writing EDIF netlist file ALU.edif ...
ngc2edif: Total memory usage is 78968 kilobytes
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91624 kilobytes
+
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91624 kilobytes
+
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91432 kilobytes
+
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91752 kilobytes
+
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91560 kilobytes
+
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91880 kilobytes
+
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91368 kilobytes
+
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91304 kilobytes
+
diff --git a/planAhead_run_1/lab1.data/cache/ALU_ngc_c04f956c.edif b/planAhead_run_1/lab1.data/cache/ALU_ngc_c04f956c.edif
new file mode 100755
index 0000000..0b84157
--- /dev/null
+++ b/planAhead_run_1/lab1.data/cache/ALU_ngc_c04f956c.edif
@@ -0,0 +1,2404 @@
+(edif ALU
+ (edifVersion 2 0 0)
+ (edifLevel 0)
+ (keywordMap (keywordLevel 0))
+ (status
+ (written
+ (timestamp 2012 2 16 18 17 18)
+ (program "Xilinx ngc2edif" (version "O.76xd"))
+ (author "Xilinx. Inc ")
+ (comment "This EDIF netlist is to be used within supported synthesis tools")
+ (comment "for determining resource/timing estimates of the design component")
+ (comment "represented by this netlist.")
+ (comment "Command line: -mdp2sp -w -secure ALU.ngc ALU.edif ")))
+ (external UNISIMS
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell GND
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port G
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell VCC
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port P
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OR3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OR2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell INV
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell AND2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell AND4
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell NOR3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell AND3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell NAND4
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell NAND3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell XNOR2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OR4
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell NAND2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT6
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port I4
+ (direction INPUT)
+ )
+ (port I5
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell BUF
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OR5
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port I4
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell XOR2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell IBUF
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OBUF
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ )
+
+ (library ALU_lib
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell (rename M4_1E_HXILINX_ALU_NO3_XLXI_4 "M4_1E_HXILINX_ALU")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port D0
+ (direction INPUT)
+ )
+ (port D1
+ (direction INPUT)
+ )
+ (port D2
+ (direction INPUT)
+ )
+ (port D3
+ (direction INPUT)
+ )
+ (port E
+ (direction INPUT)
+ )
+ (port S0
+ (direction INPUT)
+ )
+ (port S1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ (contents
+ (instance Mmux_O11
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DFD5DAD08F858A80") (owner "Xilinx"))
+ )
+ (net D0
+ (joined
+ (portRef D0)
+ (portRef I4 (instanceRef Mmux_O11))
+ )
+ )
+ (net D1
+ (joined
+ (portRef D1)
+ (portRef I3 (instanceRef Mmux_O11))
+ )
+ )
+ (net D2
+ (joined
+ (portRef D2)
+ (portRef I5 (instanceRef Mmux_O11))
+ )
+ )
+ (net D3
+ (joined
+ (portRef D3)
+ (portRef I1 (instanceRef Mmux_O11))
+ )
+ )
+ (net S0
+ (joined
+ (portRef S0)
+ (portRef I0 (instanceRef Mmux_O11))
+ )
+ )
+ (net S1
+ (joined
+ (portRef S1)
+ (portRef I2 (instanceRef Mmux_O11))
+ )
+ )
+ (net O
+ (joined
+ (portRef O)
+ (portRef O (instanceRef Mmux_O11))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename M4_1E_HXILINX_ALU_NO2_XLXI_5 "M4_1E_HXILINX_ALU")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port D0
+ (direction INPUT)
+ )
+ (port D1
+ (direction INPUT)
+ )
+ (port D2
+ (direction INPUT)
+ )
+ (port D3
+ (direction INPUT)
+ )
+ (port E
+ (direction INPUT)
+ )
+ (port S0
+ (direction INPUT)
+ )
+ (port S1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ (contents
+ (instance Mmux_O11
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DFD5DAD08F858A80") (owner "Xilinx"))
+ )
+ (net D0
+ (joined
+ (portRef D0)
+ (portRef I4 (instanceRef Mmux_O11))
+ )
+ )
+ (net D1
+ (joined
+ (portRef D1)
+ (portRef I3 (instanceRef Mmux_O11))
+ )
+ )
+ (net D2
+ (joined
+ (portRef D2)
+ (portRef I5 (instanceRef Mmux_O11))
+ )
+ )
+ (net D3
+ (joined
+ (portRef D3)
+ (portRef I1 (instanceRef Mmux_O11))
+ )
+ )
+ (net S0
+ (joined
+ (portRef S0)
+ (portRef I0 (instanceRef Mmux_O11))
+ )
+ )
+ (net S1
+ (joined
+ (portRef S1)
+ (portRef I2 (instanceRef Mmux_O11))
+ )
+ )
+ (net O
+ (joined
+ (portRef O)
+ (portRef O (instanceRef Mmux_O11))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename M4_1E_HXILINX_ALU_NO1_XLXI_6 "M4_1E_HXILINX_ALU")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port D0
+ (direction INPUT)
+ )
+ (port D1
+ (direction INPUT)
+ )
+ (port D2
+ (direction INPUT)
+ )
+ (port D3
+ (direction INPUT)
+ )
+ (port E
+ (direction INPUT)
+ )
+ (port S0
+ (direction INPUT)
+ )
+ (port S1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ (contents
+ (instance Mmux_O11
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DFD5DAD08F858A80") (owner "Xilinx"))
+ )
+ (net D0
+ (joined
+ (portRef D0)
+ (portRef I4 (instanceRef Mmux_O11))
+ )
+ )
+ (net D1
+ (joined
+ (portRef D1)
+ (portRef I3 (instanceRef Mmux_O11))
+ )
+ )
+ (net D2
+ (joined
+ (portRef D2)
+ (portRef I5 (instanceRef Mmux_O11))
+ )
+ )
+ (net D3
+ (joined
+ (portRef D3)
+ (portRef I1 (instanceRef Mmux_O11))
+ )
+ )
+ (net S0
+ (joined
+ (portRef S0)
+ (portRef I0 (instanceRef Mmux_O11))
+ )
+ )
+ (net S1
+ (joined
+ (portRef S1)
+ (portRef I2 (instanceRef Mmux_O11))
+ )
+ )
+ (net O
+ (joined
+ (portRef O)
+ (portRef O (instanceRef Mmux_O11))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename M4_1E_HXILINX_ALU_XLXI_7 "M4_1E_HXILINX_ALU")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port D0
+ (direction INPUT)
+ )
+ (port D1
+ (direction INPUT)
+ )
+ (port D2
+ (direction INPUT)
+ )
+ (port D3
+ (direction INPUT)
+ )
+ (port E
+ (direction INPUT)
+ )
+ (port S0
+ (direction INPUT)
+ )
+ (port S1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ (contents
+ (instance Mmux_O11
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DFD5DAD08F858A80") (owner "Xilinx"))
+ )
+ (net D0
+ (joined
+ (portRef D0)
+ (portRef I4 (instanceRef Mmux_O11))
+ )
+ )
+ (net D1
+ (joined
+ (portRef D1)
+ (portRef I3 (instanceRef Mmux_O11))
+ )
+ )
+ (net D2
+ (joined
+ (portRef D2)
+ (portRef I5 (instanceRef Mmux_O11))
+ )
+ )
+ (net D3
+ (joined
+ (portRef D3)
+ (portRef I1 (instanceRef Mmux_O11))
+ )
+ )
+ (net S0
+ (joined
+ (portRef S0)
+ (portRef I0 (instanceRef Mmux_O11))
+ )
+ )
+ (net S1
+ (joined
+ (portRef S1)
+ (portRef I2 (instanceRef Mmux_O11))
+ )
+ )
+ (net O
+ (joined
+ (portRef O)
+ (portRef O (instanceRef Mmux_O11))
+ )
+ )
+ )
+ )
+ )
+ (cell ALU
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port A
+ (direction INPUT)
+ )
+ (port B
+ (direction INPUT)
+ )
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port S0
+ (direction INPUT)
+ )
+ (port S1
+ (direction INPUT)
+ )
+ (port AN0
+ (direction OUTPUT)
+ )
+ (port AN1
+ (direction OUTPUT)
+ )
+ (port AN2
+ (direction OUTPUT)
+ )
+ (port AN3
+ (direction OUTPUT)
+ )
+ (port a_out
+ (direction OUTPUT)
+ )
+ (port b_out
+ (direction OUTPUT)
+ )
+ (port c_out
+ (direction OUTPUT)
+ )
+ (port d_out
+ (direction OUTPUT)
+ )
+ (port e_out
+ (direction OUTPUT)
+ )
+ (port f_out
+ (direction OUTPUT)
+ )
+ (port g_out
+ (direction OUTPUT)
+ )
+ (port sign
+ (direction OUTPUT)
+ )
+ (designator "xc6slx16-3-csg324")
+ (property TYPE (string "ALU") (owner "Xilinx"))
+ (property SHREG_MIN_SIZE (string "2") (owner "Xilinx"))
+ (property SHREG_EXTRACT_NGC (string "YES") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "ALU_ALU") (owner "Xilinx"))
+ )
+ (contents
+ (instance XST_GND
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance XLXI_8
+ (viewRef view_1 (cellRef VCC (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_2 "XLXI_1/XLXI_8/XLXI_2")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_5 "XLXI_1/XLXI_8/XLXI_5")
+ (viewRef view_1 (cellRef OR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_7 "XLXI_1/XLXI_8/XLXI_7")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_6 "XLXI_1/XLXI_8/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_4 "XLXI_1/XLXI_8/XLXI_4")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_8 "XLXI_1/XLXI_8/XLXI_8")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_3 "XLXI_1/XLXI_8/XLXI_3")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_9 "XLXI_1/XLXI_8/XLXI_9")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_1 "XLXI_1/XLXI_8/XLXI_1")
+ (viewRef view_1 (cellRef AND4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_9_XLXI_10 "XLXI_1/XLXI_9/XLXI_10")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_9_XLXI_11 "XLXI_1/XLXI_9/XLXI_11")
+ (viewRef view_1 (cellRef NOR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_10_XLXI_2 "XLXI_1/XLXI_10/XLXI_2")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_10_XLXI_3 "XLXI_1/XLXI_10/XLXI_3")
+ (viewRef view_1 (cellRef NOR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_7 "XLXI_1/XLXI_12/XLXI_7")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_4 "XLXI_1/XLXI_12/XLXI_4")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_5 "XLXI_1/XLXI_12/XLXI_5")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_3 "XLXI_1/XLXI_12/XLXI_3")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_2 "XLXI_1/XLXI_12/XLXI_2")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_6 "XLXI_1/XLXI_12/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_1 "XLXI_1/XLXI_12/XLXI_1")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_4 "XLXI_2/XLXI_2/XLXI_4")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_2 "XLXI_2/XLXI_2/XLXI_2")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_7 "XLXI_2/XLXI_2/XLXI_7")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_5 "XLXI_2/XLXI_2/XLXI_5")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_1 "XLXI_2/XLXI_2/XLXI_1")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_6 "XLXI_2/XLXI_2/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_3 "XLXI_2/XLXI_2/XLXI_3")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_4 "XLXI_2/XLXI_1/XLXI_4")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_2 "XLXI_2/XLXI_1/XLXI_2")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_7 "XLXI_2/XLXI_1/XLXI_7")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_5 "XLXI_2/XLXI_1/XLXI_5")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_1 "XLXI_2/XLXI_1/XLXI_1")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_6 "XLXI_2/XLXI_1/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_3 "XLXI_2/XLXI_1/XLXI_3")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_17 "XLXI_2/XLXI_3/XLXI_17")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_4 "XLXI_2/XLXI_3/XLXI_4")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_15 "XLXI_2/XLXI_3/XLXI_15")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_2 "XLXI_2/XLXI_3/XLXI_2")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_7 "XLXI_2/XLXI_3/XLXI_7")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_16 "XLXI_2/XLXI_3/XLXI_16")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_14 "XLXI_2/XLXI_3/XLXI_14")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_5 "XLXI_2/XLXI_3/XLXI_5")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_1 "XLXI_2/XLXI_3/XLXI_1")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_13 "XLXI_2/XLXI_3/XLXI_13")
+ (viewRef view_1 (cellRef NAND4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_11 "XLXI_2/XLXI_3/XLXI_11")
+ (viewRef view_1 (cellRef AND4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_6 "XLXI_2/XLXI_3/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_3 "XLXI_2/XLXI_3/XLXI_3")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_4 "XLXI_2/XLXI_4/XLXI_4")
+ (viewRef view_1 (cellRef OR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_2 "XLXI_2/XLXI_4/XLXI_2")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_3 "XLXI_2/XLXI_4/XLXI_3")
+ (viewRef view_1 (cellRef NAND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_5 "XLXI_2/XLXI_4/XLXI_5")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_1 "XLXI_2/XLXI_4/XLXI_1")
+ (viewRef view_1 (cellRef XNOR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_8_XLXI_8 "XLXI_3/XLXI_8/XLXI_8")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_8_XLXI_10 "XLXI_3/XLXI_8/XLXI_10")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_8_XLXI_9 "XLXI_3/XLXI_8/XLXI_9")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_8_XLXI_12 "XLXI_3/XLXI_8/XLXI_12")
+ (viewRef view_1 (cellRef OR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_4 "XLXI_3/XLXI_9/XLXI_4")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_3 "XLXI_3/XLXI_9/XLXI_3")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_5 "XLXI_3/XLXI_9/XLXI_5")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_6 "XLXI_3/XLXI_9/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_1 "XLXI_3/XLXI_9/XLXI_1")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_7 "XLXI_3/XLXI_9/XLXI_7")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_2 "XLXI_3/XLXI_9/XLXI_2")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_8 "XLXI_3/XLXI_10/XLXI_8")
+ (viewRef view_1 (cellRef OR4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_9 "XLXI_3/XLXI_10/XLXI_9")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_14 "XLXI_3/XLXI_10/XLXI_14")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_10 "XLXI_3/XLXI_10/XLXI_10")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_12 "XLXI_3/XLXI_10/XLXI_12")
+ (viewRef view_1 (cellRef NAND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_11 "XLXI_3/XLXI_10/XLXI_11")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_12_XLXI_9 "XLXI_3/XLXI_12/XLXI_9")
+ (viewRef view_1 (cellRef NAND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_12_XLXI_13 "XLXI_3/XLXI_12/XLXI_13")
+ (viewRef view_1 (cellRef NOR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance XLXI_7
+ (viewRef view_1 (cellRef M4_1E_HXILINX_ALU_XLXI_7 (libraryRef ALU_lib)))
+ (property HU_SET (string "XLXI_7_3") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 1) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "M4_1E_HXILINX_ALU_XLXI_7") (owner "Xilinx"))
+ )
+ (instance XLXI_6
+ (viewRef view_1 (cellRef M4_1E_HXILINX_ALU_NO1_XLXI_6 (libraryRef ALU_lib)))
+ (property HU_SET (string "XLXI_6_2") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 1) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 2) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "M4_1E_HXILINX_ALU_NO1_XLXI_6") (owner "Xilinx"))
+ )
+ (instance XLXI_5
+ (viewRef view_1 (cellRef M4_1E_HXILINX_ALU_NO2_XLXI_5 (libraryRef ALU_lib)))
+ (property HU_SET (string "XLXI_5_1") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 2) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 3) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "M4_1E_HXILINX_ALU_NO2_XLXI_5") (owner "Xilinx"))
+ )
+ (instance XLXI_4
+ (viewRef view_1 (cellRef M4_1E_HXILINX_ALU_NO3_XLXI_4 (libraryRef ALU_lib)))
+ (property HU_SET (string "XLXI_4_0") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 3) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 4) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "M4_1E_HXILINX_ALU_NO3_XLXI_4") (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_8 "XLXI_9/XLXI_8")
+ (viewRef view_1 (cellRef BUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_7 "XLXI_9/XLXI_7")
+ (viewRef view_1 (cellRef BUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_6 "XLXI_9/XLXI_6")
+ (viewRef view_1 (cellRef BUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_5 "XLXI_9/XLXI_5")
+ (viewRef view_1 (cellRef BUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_68 "XLXI_9/XLXI_68")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_69 "XLXI_9/XLXI_69")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_71 "XLXI_9/XLXI_71")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_70 "XLXI_9/XLXI_70")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_66 "XLXI_9/XLXI_66")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_73 "XLXI_9/XLXI_73")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_65 "XLXI_9/XLXI_65")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_67 "XLXI_9/XLXI_67")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_53 "XLXI_9/XLXI_53")
+ (viewRef view_1 (cellRef OR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_57 "XLXI_9/XLXI_57")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_43 "XLXI_9/XLXI_43")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_52 "XLXI_9/XLXI_52")
+ (viewRef view_1 (cellRef OR4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_49 "XLXI_9/XLXI_49")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_44 "XLXI_9/XLXI_44")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_32 "XLXI_9/XLXI_32")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_60 "XLXI_9/XLXI_60")
+ (viewRef view_1 (cellRef OR4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_58 "XLXI_9/XLXI_58")
+ (viewRef view_1 (cellRef OR4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_41 "XLXI_9/XLXI_41")
+ (viewRef view_1 (cellRef OR4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_72 "XLXI_9/XLXI_72")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_34 "XLXI_9/XLXI_34")
+ (viewRef view_1 (cellRef OR5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_47 "XLXI_9/XLXI_47")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_40 "XLXI_9/XLXI_40")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_63 "XLXI_9/XLXI_63")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_62 "XLXI_9/XLXI_62")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_50 "XLXI_9/XLXI_50")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_48 "XLXI_9/XLXI_48")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_45 "XLXI_9/XLXI_45")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_39 "XLXI_9/XLXI_39")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_33 "XLXI_9/XLXI_33")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_31 "XLXI_9/XLXI_31")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_61 "XLXI_9/XLXI_61")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_56 "XLXI_9/XLXI_56")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_54 "XLXI_9/XLXI_54")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_51 "XLXI_9/XLXI_51")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_38 "XLXI_9/XLXI_38")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_30 "XLXI_9/XLXI_30")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_64 "XLXI_9/XLXI_64")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_55 "XLXI_9/XLXI_55")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_46 "XLXI_9/XLXI_46")
+ (viewRef view_1 (cellRef XOR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_42 "XLXI_9/XLXI_42")
+ (viewRef view_1 (cellRef XNOR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_37 "XLXI_9/XLXI_37")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_35 "XLXI_9/XLXI_35")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename A_IBUF_renamed_0 "A_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename B_IBUF_renamed_1 "B_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename C_IBUF_renamed_2 "C_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename D_IBUF_renamed_3 "D_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename S0_IBUF_renamed_4 "S0_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename S1_IBUF_renamed_5 "S1_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename AN0_OBUF_renamed_6 "AN0_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename AN1_OBUF_renamed_7 "AN1_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename AN2_OBUF_renamed_8 "AN2_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename AN3_OBUF_renamed_9 "AN3_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename a_out_OBUF_renamed_10 "a_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename b_out_OBUF_renamed_11 "b_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename c_out_OBUF_renamed_12 "c_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename d_out_OBUF_renamed_13 "d_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename e_out_OBUF_renamed_14 "e_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename f_out_OBUF_renamed_15 "f_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename g_out_OBUF_renamed_16 "g_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename sign_OBUF_renamed_17 "sign_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (net A_IBUF
+ (joined
+ (portRef I (instanceRef XLXI_1_XLXI_8_XLXI_7))
+ (portRef I0 (instanceRef XLXI_1_XLXI_8_XLXI_1))
+ (portRef I1 (instanceRef XLXI_1_XLXI_9_XLXI_10))
+ (portRef I1 (instanceRef XLXI_1_XLXI_10_XLXI_2))
+ (portRef I2 (instanceRef XLXI_2_XLXI_2_XLXI_2))
+ (portRef I2 (instanceRef XLXI_2_XLXI_2_XLXI_1))
+ (portRef I2 (instanceRef XLXI_2_XLXI_2_XLXI_3))
+ (portRef I2 (instanceRef XLXI_2_XLXI_1_XLXI_2))
+ (portRef I2 (instanceRef XLXI_2_XLXI_1_XLXI_1))
+ (portRef I2 (instanceRef XLXI_2_XLXI_1_XLXI_3))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_15))
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_2))
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_1))
+ (portRef I3 (instanceRef XLXI_2_XLXI_3_XLXI_13))
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_3))
+ (portRef I0 (instanceRef XLXI_3_XLXI_8_XLXI_8))
+ (portRef I2 (instanceRef XLXI_3_XLXI_9_XLXI_3))
+ (portRef I1 (instanceRef XLXI_3_XLXI_10_XLXI_9))
+ (portRef I1 (instanceRef XLXI_3_XLXI_12_XLXI_9))
+ (portRef D0 (instanceRef XLXI_4))
+ (portRef O (instanceRef A_IBUF_renamed_0))
+ )
+ )
+ (net B_IBUF
+ (joined
+ (portRef I (instanceRef XLXI_1_XLXI_8_XLXI_6))
+ (portRef I1 (instanceRef XLXI_1_XLXI_8_XLXI_4))
+ (portRef I0 (instanceRef XLXI_1_XLXI_9_XLXI_11))
+ (portRef I2 (instanceRef XLXI_1_XLXI_10_XLXI_3))
+ (portRef I (instanceRef XLXI_1_XLXI_12_XLXI_5))
+ (portRef I0 (instanceRef XLXI_1_XLXI_12_XLXI_3))
+ (portRef I0 (instanceRef XLXI_1_XLXI_12_XLXI_2))
+ (portRef I (instanceRef XLXI_2_XLXI_2_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_2_XLXI_1))
+ (portRef I (instanceRef XLXI_2_XLXI_1_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_1_XLXI_1))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_1))
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_13))
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_11))
+ (portRef I1 (instanceRef XLXI_2_XLXI_4_XLXI_2))
+ (portRef I2 (instanceRef XLXI_2_XLXI_4_XLXI_3))
+ (portRef I (instanceRef XLXI_3_XLXI_8_XLXI_10))
+ (portRef I (instanceRef XLXI_3_XLXI_9_XLXI_5))
+ (portRef I (instanceRef XLXI_3_XLXI_10_XLXI_14))
+ (portRef I2 (instanceRef XLXI_3_XLXI_12_XLXI_13))
+ (portRef D0 (instanceRef XLXI_5))
+ (portRef O (instanceRef B_IBUF_renamed_1))
+ )
+ )
+ (net C_IBUF
+ (joined
+ (portRef I (instanceRef XLXI_1_XLXI_8_XLXI_8))
+ (portRef I1 (instanceRef XLXI_1_XLXI_8_XLXI_3))
+ (portRef I1 (instanceRef XLXI_1_XLXI_8_XLXI_1))
+ (portRef I1 (instanceRef XLXI_1_XLXI_9_XLXI_11))
+ (portRef I1 (instanceRef XLXI_1_XLXI_10_XLXI_3))
+ (portRef I (instanceRef XLXI_1_XLXI_12_XLXI_6))
+ (portRef I0 (instanceRef XLXI_1_XLXI_12_XLXI_1))
+ (portRef I (instanceRef XLXI_2_XLXI_2_XLXI_5))
+ (portRef I0 (instanceRef XLXI_2_XLXI_2_XLXI_1))
+ (portRef I (instanceRef XLXI_2_XLXI_1_XLXI_5))
+ (portRef I0 (instanceRef XLXI_2_XLXI_1_XLXI_1))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_16))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_14))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_5))
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_1))
+ (portRef I1 (instanceRef XLXI_2_XLXI_4_XLXI_3))
+ (portRef I1 (instanceRef XLXI_2_XLXI_4_XLXI_1))
+ (portRef I (instanceRef XLXI_3_XLXI_8_XLXI_9))
+ (portRef I (instanceRef XLXI_3_XLXI_9_XLXI_6))
+ (portRef I0 (instanceRef XLXI_3_XLXI_9_XLXI_1))
+ (portRef I0 (instanceRef XLXI_3_XLXI_10_XLXI_10))
+ (portRef I0 (instanceRef XLXI_3_XLXI_10_XLXI_12))
+ (portRef I1 (instanceRef XLXI_3_XLXI_12_XLXI_13))
+ (portRef D0 (instanceRef XLXI_6))
+ (portRef O (instanceRef C_IBUF_renamed_2))
+ )
+ )
+ (net D_IBUF
+ (joined
+ (portRef I (instanceRef XLXI_1_XLXI_8_XLXI_9))
+ (portRef I3 (instanceRef XLXI_1_XLXI_8_XLXI_1))
+ (portRef I2 (instanceRef XLXI_1_XLXI_9_XLXI_11))
+ (portRef I0 (instanceRef XLXI_1_XLXI_10_XLXI_3))
+ (portRef I (instanceRef XLXI_1_XLXI_12_XLXI_4))
+ (portRef I1 (instanceRef XLXI_1_XLXI_12_XLXI_1))
+ (portRef I (instanceRef XLXI_2_XLXI_2_XLXI_6))
+ (portRef I0 (instanceRef XLXI_2_XLXI_2_XLXI_3))
+ (portRef I (instanceRef XLXI_2_XLXI_1_XLXI_6))
+ (portRef I0 (instanceRef XLXI_2_XLXI_1_XLXI_3))
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_13))
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_11))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_6))
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_3))
+ (portRef I (instanceRef XLXI_2_XLXI_4_XLXI_5))
+ (portRef I0 (instanceRef XLXI_2_XLXI_4_XLXI_1))
+ (portRef I1 (instanceRef XLXI_3_XLXI_8_XLXI_12))
+ (portRef I (instanceRef XLXI_3_XLXI_9_XLXI_7))
+ (portRef I1 (instanceRef XLXI_3_XLXI_9_XLXI_2))
+ (portRef I1 (instanceRef XLXI_3_XLXI_10_XLXI_12))
+ (portRef I0 (instanceRef XLXI_3_XLXI_10_XLXI_11))
+ (portRef I0 (instanceRef XLXI_3_XLXI_12_XLXI_13))
+ (portRef D0 (instanceRef XLXI_7))
+ (portRef O (instanceRef D_IBUF_renamed_3))
+ )
+ )
+ (net S0_IBUF
+ (joined
+ (portRef S0 (instanceRef XLXI_7))
+ (portRef S0 (instanceRef XLXI_6))
+ (portRef S0 (instanceRef XLXI_5))
+ (portRef S0 (instanceRef XLXI_4))
+ (portRef O (instanceRef S0_IBUF_renamed_4))
+ )
+ )
+ (net S1_IBUF
+ (joined
+ (portRef S1 (instanceRef XLXI_7))
+ (portRef S1 (instanceRef XLXI_6))
+ (portRef S1 (instanceRef XLXI_5))
+ (portRef S1 (instanceRef XLXI_4))
+ (portRef O (instanceRef S1_IBUF_renamed_5))
+ )
+ )
+ (net XLXN_36
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_7))
+ (portRef D2 (instanceRef XLXI_7))
+ )
+ )
+ (net XLXN_35
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_5))
+ (portRef D2 (instanceRef XLXI_6))
+ )
+ )
+ (net XLXN_34
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_9_XLXI_10))
+ (portRef D2 (instanceRef XLXI_5))
+ )
+ )
+ (net XLXN_33
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_10_XLXI_2))
+ (portRef D2 (instanceRef XLXI_4))
+ )
+ )
+ (net XLXN_37
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_4))
+ (portRef D3 (instanceRef XLXI_7))
+ )
+ )
+ (net XLXN_38
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_17))
+ (portRef D3 (instanceRef XLXI_6))
+ )
+ )
+ (net XLXN_39
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_4))
+ (portRef D3 (instanceRef XLXI_5))
+ )
+ )
+ (net XLXN_41
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_4))
+ (portRef D3 (instanceRef XLXI_4))
+ )
+ )
+ (net XLXN_27
+ (joined
+ (portRef O (instanceRef XLXI_3_XLXI_8_XLXI_12))
+ (portRef D1 (instanceRef XLXI_5))
+ )
+ )
+ (net XLXN_28
+ (joined
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_4))
+ (portRef D1 (instanceRef XLXI_6))
+ )
+ )
+ (net XLXN_31
+ (joined
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_8))
+ (portRef D1 (instanceRef XLXI_7))
+ )
+ )
+ (net XLXN_26
+ (joined
+ (portRef O (instanceRef XLXI_3_XLXI_12_XLXI_9))
+ (portRef D1 (instanceRef XLXI_4))
+ )
+ )
+ (net XLXN_22
+ (joined
+ (portRef O (instanceRef XLXI_4))
+ (portRef I1 (instanceRef XLXI_9_XLXI_35))
+ (portRef I (instanceRef XLXI_9_XLXI_37))
+ (portRef I1 (instanceRef XLXI_9_XLXI_42))
+ (portRef I1 (instanceRef XLXI_9_XLXI_46))
+ (portRef I2 (instanceRef XLXI_9_XLXI_55))
+ (portRef I1 (instanceRef XLXI_9_XLXI_64))
+ )
+ )
+ (net XLXN_23
+ (joined
+ (portRef O (instanceRef XLXI_5))
+ (portRef I0 (instanceRef XLXI_9_XLXI_42))
+ (portRef I0 (instanceRef XLXI_9_XLXI_46))
+ (portRef I0 (instanceRef XLXI_9_XLXI_30))
+ (portRef I (instanceRef XLXI_9_XLXI_38))
+ (portRef I2 (instanceRef XLXI_9_XLXI_51))
+ (portRef I1 (instanceRef XLXI_9_XLXI_54))
+ (portRef I1 (instanceRef XLXI_9_XLXI_56))
+ (portRef I1 (instanceRef XLXI_9_XLXI_61))
+ )
+ )
+ (net XLXN_24
+ (joined
+ (portRef O (instanceRef XLXI_6))
+ (portRef I0 (instanceRef XLXI_9_XLXI_55))
+ (portRef I2 (instanceRef XLXI_9_XLXI_31))
+ (portRef I0 (instanceRef XLXI_9_XLXI_33))
+ (portRef I (instanceRef XLXI_9_XLXI_39))
+ (portRef I2 (instanceRef XLXI_9_XLXI_45))
+ (portRef I1 (instanceRef XLXI_9_XLXI_48))
+ (portRef I0 (instanceRef XLXI_9_XLXI_50))
+ (portRef I1 (instanceRef XLXI_9_XLXI_62))
+ (portRef I1 (instanceRef XLXI_9_XLXI_63))
+ )
+ )
+ (net XLXN_25
+ (joined
+ (portRef O (instanceRef XLXI_7))
+ (portRef I2 (instanceRef XLXI_9_XLXI_30))
+ (portRef I1 (instanceRef XLXI_9_XLXI_51))
+ (portRef I1 (instanceRef XLXI_9_XLXI_31))
+ (portRef I1 (instanceRef XLXI_9_XLXI_45))
+ (portRef I (instanceRef XLXI_9_XLXI_40))
+ (portRef I0 (instanceRef XLXI_9_XLXI_47))
+ )
+ )
+ (net AN0_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_5))
+ (portRef I (instanceRef AN0_OBUF_renamed_6))
+ )
+ )
+ (net AN1_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_6))
+ (portRef I (instanceRef AN1_OBUF_renamed_7))
+ )
+ )
+ (net AN2_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_7))
+ (portRef I (instanceRef AN2_OBUF_renamed_8))
+ )
+ )
+ (net AN3_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_8))
+ (portRef I (instanceRef AN3_OBUF_renamed_9))
+ )
+ )
+ (net a_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_65))
+ (portRef I (instanceRef a_out_OBUF_renamed_10))
+ )
+ )
+ (net b_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_66))
+ (portRef I (instanceRef b_out_OBUF_renamed_11))
+ )
+ )
+ (net c_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_67))
+ (portRef I (instanceRef c_out_OBUF_renamed_12))
+ )
+ )
+ (net d_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_69))
+ (portRef I (instanceRef d_out_OBUF_renamed_13))
+ )
+ )
+ (net e_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_68))
+ (portRef I (instanceRef e_out_OBUF_renamed_14))
+ )
+ )
+ (net f_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_70))
+ (portRef I (instanceRef f_out_OBUF_renamed_15))
+ )
+ )
+ (net g_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_71))
+ (portRef I (instanceRef g_out_OBUF_renamed_16))
+ )
+ )
+ (net sign_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_73))
+ (portRef I (instanceRef sign_OBUF_renamed_17))
+ )
+ )
+ (net XLXN_12
+ (joined
+ (portRef P (instanceRef XLXI_8))
+ (portRef E (instanceRef XLXI_7))
+ (portRef E (instanceRef XLXI_6))
+ (portRef E (instanceRef XLXI_5))
+ (portRef E (instanceRef XLXI_4))
+ (portRef I (instanceRef XLXI_9_XLXI_5))
+ (portRef I (instanceRef XLXI_9_XLXI_6))
+ (portRef I (instanceRef XLXI_9_XLXI_7))
+ )
+ )
+ (net N0
+ (joined
+ (portRef G (instanceRef XST_GND))
+ (portRef I (instanceRef XLXI_9_XLXI_8))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_2 "XLXI_1/XLXI_8/XLXN_2")
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_2))
+ (portRef I0 (instanceRef XLXI_1_XLXI_8_XLXI_4))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_13 "XLXI_1/XLXI_8/XLXN_13")
+ (joined
+ (portRef I2 (instanceRef XLXI_1_XLXI_8_XLXI_2))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_7))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_8 "XLXI_1/XLXI_8/XLXN_8")
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_6))
+ (portRef I2 (instanceRef XLXI_1_XLXI_8_XLXI_1))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_4 "XLXI_1/XLXI_8/XLXN_4")
+ (joined
+ (portRef I1 (instanceRef XLXI_1_XLXI_8_XLXI_5))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_4))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_16 "XLXI_1/XLXI_8/XLXN_16")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_8_XLXI_2))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_8))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_1 "XLXI_1/XLXI_8/XLXN_1")
+ (joined
+ (portRef I1 (instanceRef XLXI_1_XLXI_8_XLXI_2))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_3))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_17 "XLXI_1/XLXI_8/XLXN_17")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_8_XLXI_3))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_9))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_5 "XLXI_1/XLXI_8/XLXN_5")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_8_XLXI_5))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_1))
+ )
+ )
+ (net (rename XLXI_1_XLXI_9_XLXN_9 "XLXI_1/XLXI_9/XLXN_9")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_9_XLXI_10))
+ (portRef O (instanceRef XLXI_1_XLXI_9_XLXI_11))
+ )
+ )
+ (net (rename XLXI_1_XLXI_10_XLXN_2 "XLXI_1/XLXI_10/XLXN_2")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_10_XLXI_2))
+ (portRef O (instanceRef XLXI_1_XLXI_10_XLXI_3))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_1 "XLXI_1/XLXI_12/XLXN_1")
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_4))
+ (portRef I1 (instanceRef XLXI_1_XLXI_12_XLXI_2))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_2 "XLXI_1/XLXI_12/XLXN_2")
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_5))
+ (portRef I2 (instanceRef XLXI_1_XLXI_12_XLXI_1))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_6 "XLXI_1/XLXI_12/XLXN_6")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_12_XLXI_7))
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_3))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_4 "XLXI_1/XLXI_12/XLXN_4")
+ (joined
+ (portRef I2 (instanceRef XLXI_1_XLXI_12_XLXI_7))
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_2))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_3 "XLXI_1/XLXI_12/XLXN_3")
+ (joined
+ (portRef I1 (instanceRef XLXI_1_XLXI_12_XLXI_3))
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_6))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_5 "XLXI_1/XLXI_12/XLXN_5")
+ (joined
+ (portRef I1 (instanceRef XLXI_1_XLXI_12_XLXI_7))
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_1))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_2 "XLXI_2/XLXI_2/XLXN_2")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_2_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_2))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_7 "XLXI_2/XLXI_2/XLXN_7")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_2_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_5 "XLXI_2/XLXI_2/XLXN_5")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_2_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_5))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_1 "XLXI_2/XLXI_2/XLXN_1")
+ (joined
+ (portRef I2 (instanceRef XLXI_2_XLXI_2_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_1))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_6 "XLXI_2/XLXI_2/XLXN_6")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_2_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_6))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_3 "XLXI_2/XLXI_2/XLXN_3")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_2_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_2 "XLXI_2/XLXI_1/XLXN_2")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_1_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_2))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_7 "XLXI_2/XLXI_1/XLXN_7")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_1_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_5 "XLXI_2/XLXI_1/XLXN_5")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_1_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_5))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_1 "XLXI_2/XLXI_1/XLXN_1")
+ (joined
+ (portRef I2 (instanceRef XLXI_2_XLXI_1_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_1))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_6 "XLXI_2/XLXI_1/XLXN_6")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_1_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_6))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_3 "XLXI_2/XLXI_1/XLXN_3")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_1_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_21 "XLXI_2/XLXI_3/XLXN_21")
+ (joined
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_17))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_4))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_23 "XLXI_2/XLXI_3/XLXN_23")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_15))
+ (portRef I3 (instanceRef XLXI_2_XLXI_3_XLXI_11))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_2 "XLXI_2/XLXI_3/XLXN_2")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_2))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_7 "XLXI_2/XLXI_3/XLXN_7")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_24 "XLXI_2/XLXI_3/XLXN_24")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_16))
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_11))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_22 "XLXI_2/XLXI_3/XLXN_22")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_14))
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_13))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_5 "XLXI_2/XLXI_3/XLXN_5")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_5))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_1 "XLXI_2/XLXI_3/XLXN_1")
+ (joined
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_1))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_31 "XLXI_2/XLXI_3/XLXN_31")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_17))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_13))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_46 "XLXI_2/XLXI_3/XLXN_46")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_17))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_11))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_6 "XLXI_2/XLXI_3/XLXN_6")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_6))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_3 "XLXI_2/XLXI_3/XLXN_3")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_4 "XLXI_2/XLXI_4/XLXN_4")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_4_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_2))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_3 "XLXI_2/XLXI_4/XLXN_3")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_4_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_5 "XLXI_2/XLXI_4/XLXN_5")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_4_XLXI_3))
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_5))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_1 "XLXI_2/XLXI_4/XLXN_1")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_4_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_1))
+ )
+ )
+ (net (rename XLXI_3_XLXI_8_XLXN_17 "XLXI_3/XLXI_8/XLXN_17")
+ (joined
+ (portRef O (instanceRef XLXI_3_XLXI_8_XLXI_8))
+ (portRef I0 (instanceRef XLXI_3_XLXI_8_XLXI_12))
+ )
+ )
+ (net (rename XLXI_3_XLXI_8_XLXN_15 "XLXI_3/XLXI_8/XLXN_15")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_8_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_8_XLXI_10))
+ )
+ )
+ (net (rename XLXI_3_XLXI_8_XLXN_14 "XLXI_3/XLXI_8/XLXN_14")
+ (joined
+ (portRef I2 (instanceRef XLXI_3_XLXI_8_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_8_XLXI_9))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_3 "XLXI_3/XLXI_9/XLXN_3")
+ (joined
+ (portRef I0 (instanceRef XLXI_3_XLXI_9_XLXI_4))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_3))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_4 "XLXI_3/XLXI_9/XLXN_4")
+ (joined
+ (portRef I0 (instanceRef XLXI_3_XLXI_9_XLXI_3))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_5))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_5 "XLXI_3/XLXI_9/XLXN_5")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_9_XLXI_3))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_6))
+ (portRef I0 (instanceRef XLXI_3_XLXI_9_XLXI_2))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_2 "XLXI_3/XLXI_9/XLXN_2")
+ (joined
+ (portRef I2 (instanceRef XLXI_3_XLXI_9_XLXI_4))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_1))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_6 "XLXI_3/XLXI_9/XLXN_6")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_9_XLXI_1))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_7))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_1 "XLXI_3/XLXI_9/XLXN_1")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_9_XLXI_4))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_2))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_35 "XLXI_3/XLXI_10/XLXN_35")
+ (joined
+ (portRef I3 (instanceRef XLXI_3_XLXI_10_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_9))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_44 "XLXI_3/XLXI_10/XLXN_44")
+ (joined
+ (portRef I0 (instanceRef XLXI_3_XLXI_10_XLXI_9))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_14))
+ (portRef I1 (instanceRef XLXI_3_XLXI_10_XLXI_10))
+ (portRef I2 (instanceRef XLXI_3_XLXI_10_XLXI_12))
+ (portRef I1 (instanceRef XLXI_3_XLXI_10_XLXI_11))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_41 "XLXI_3/XLXI_10/XLXN_41")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_10_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_10))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_37 "XLXI_3/XLXI_10/XLXN_37")
+ (joined
+ (portRef I0 (instanceRef XLXI_3_XLXI_10_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_12))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_40 "XLXI_3/XLXI_10/XLXN_40")
+ (joined
+ (portRef I2 (instanceRef XLXI_3_XLXI_10_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_11))
+ )
+ )
+ (net (rename XLXI_3_XLXI_12_XLXN_8 "XLXI_3/XLXI_12/XLXN_8")
+ (joined
+ (portRef I0 (instanceRef XLXI_3_XLXI_12_XLXI_9))
+ (portRef O (instanceRef XLXI_3_XLXI_12_XLXI_13))
+ )
+ )
+ (net (rename XLXI_9_XLXN_158 "XLXI_9/XLXN_158")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_53))
+ (portRef I (instanceRef XLXI_9_XLXI_68))
+ )
+ )
+ (net (rename XLXI_9_XLXN_131 "XLXI_9/XLXN_131")
+ (joined
+ (portRef I0 (instanceRef XLXI_9_XLXI_58))
+ (portRef O (instanceRef XLXI_9_XLXI_57))
+ )
+ )
+ (net (rename XLXI_9_XLXN_92 "XLXI_9/XLXN_92")
+ (joined
+ (portRef I2 (instanceRef XLXI_9_XLXI_41))
+ (portRef O (instanceRef XLXI_9_XLXI_43))
+ )
+ )
+ (net (rename XLXI_9_XLXN_156 "XLXI_9/XLXN_156")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_52))
+ (portRef I (instanceRef XLXI_9_XLXI_69))
+ )
+ )
+ (net (rename XLXI_9_XLXN_126 "XLXI_9/XLXN_126")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_49))
+ (portRef I2 (instanceRef XLXI_9_XLXI_52))
+ (portRef I0 (instanceRef XLXI_9_XLXI_53))
+ )
+ )
+ (net (rename XLXI_9_XLXN_93 "XLXI_9/XLXN_93")
+ (joined
+ (portRef I1 (instanceRef XLXI_9_XLXI_41))
+ (portRef O (instanceRef XLXI_9_XLXI_44))
+ )
+ )
+ (net (rename XLXI_9_XLXN_63 "XLXI_9/XLXN_63")
+ (joined
+ (portRef I2 (instanceRef XLXI_9_XLXI_34))
+ (portRef O (instanceRef XLXI_9_XLXI_32))
+ )
+ )
+ (net (rename XLXI_9_XLXN_162 "XLXI_9/XLXN_162")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_60))
+ (portRef I (instanceRef XLXI_9_XLXI_71))
+ )
+ )
+ (net (rename XLXI_9_XLXN_160 "XLXI_9/XLXN_160")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_58))
+ (portRef I (instanceRef XLXI_9_XLXI_70))
+ )
+ )
+ (net (rename XLXI_9_XLXN_151 "XLXI_9/XLXN_151")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_41))
+ (portRef I (instanceRef XLXI_9_XLXI_66))
+ )
+ )
+ (net (rename XLXI_9_XLXN_165 "XLXI_9/XLXN_165")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_72))
+ (portRef I (instanceRef XLXI_9_XLXI_73))
+ )
+ )
+ (net (rename XLXI_9_XLXN_149 "XLXI_9/XLXN_149")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_34))
+ (portRef I (instanceRef XLXI_9_XLXI_65))
+ )
+ )
+ (net (rename XLXI_9_XLXN_155 "XLXI_9/XLXN_155")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_47))
+ (portRef I (instanceRef XLXI_9_XLXI_67))
+ )
+ )
+ (net (rename XLXI_9_D_BAR "XLXI_9/D_BAR")
+ (joined
+ (portRef I0 (instanceRef XLXI_9_XLXI_64))
+ (portRef I0 (instanceRef XLXI_9_XLXI_56))
+ (portRef I1 (instanceRef XLXI_9_XLXI_33))
+ (portRef I0 (instanceRef XLXI_9_XLXI_48))
+ (portRef I0 (instanceRef XLXI_9_XLXI_62))
+ (portRef O (instanceRef XLXI_9_XLXI_40))
+ (portRef I1 (instanceRef XLXI_9_XLXI_32))
+ (portRef I0 (instanceRef XLXI_9_XLXI_49))
+ (portRef I0 (instanceRef XLXI_9_XLXI_43))
+ (portRef I0 (instanceRef XLXI_9_XLXI_57))
+ )
+ )
+ (net (rename XLXI_9_XLXN_147 "XLXI_9/XLXN_147")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_63))
+ (portRef I1 (instanceRef XLXI_9_XLXI_60))
+ )
+ )
+ (net (rename XLXI_9_XLXN_146 "XLXI_9/XLXN_146")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_62))
+ (portRef I2 (instanceRef XLXI_9_XLXI_60))
+ )
+ )
+ (net (rename XLXI_9_XLXN_113 "XLXI_9/XLXN_113")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_50))
+ (portRef I1 (instanceRef XLXI_9_XLXI_52))
+ )
+ )
+ (net (rename XLXI_9_XLXN_125 "XLXI_9/XLXN_125")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_48))
+ (portRef I3 (instanceRef XLXI_9_XLXI_52))
+ (portRef I1 (instanceRef XLXI_9_XLXI_53))
+ )
+ )
+ (net (rename XLXI_9_XLXN_94 "XLXI_9/XLXN_94")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_45))
+ (portRef I0 (instanceRef XLXI_9_XLXI_41))
+ )
+ )
+ (net (rename XLXI_9_C_BAR "XLXI_9/C_BAR")
+ (joined
+ (portRef I1 (instanceRef XLXI_9_XLXI_30))
+ (portRef I0 (instanceRef XLXI_9_XLXI_51))
+ (portRef I0 (instanceRef XLXI_9_XLXI_54))
+ (portRef I0 (instanceRef XLXI_9_XLXI_61))
+ (portRef O (instanceRef XLXI_9_XLXI_39))
+ (portRef I1 (instanceRef XLXI_9_XLXI_47))
+ (portRef I1 (instanceRef XLXI_9_XLXI_44))
+ (portRef I1 (instanceRef XLXI_9_XLXI_43))
+ (portRef I1 (instanceRef XLXI_9_XLXI_57))
+ )
+ )
+ (net (rename XLXI_9_XLXN_64 "XLXI_9/XLXN_64")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_33))
+ (portRef I1 (instanceRef XLXI_9_XLXI_34))
+ )
+ )
+ (net (rename XLXI_9_XLXN_62 "XLXI_9/XLXN_62")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_31))
+ (portRef I3 (instanceRef XLXI_9_XLXI_34))
+ )
+ )
+ (net (rename XLXI_9_XLXN_145 "XLXI_9/XLXN_145")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_61))
+ (portRef I3 (instanceRef XLXI_9_XLXI_60))
+ )
+ )
+ (net (rename XLXI_9_XLXN_130 "XLXI_9/XLXN_130")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_56))
+ (portRef I1 (instanceRef XLXI_9_XLXI_58))
+ )
+ )
+ (net (rename XLXI_9_XLXN_128 "XLXI_9/XLXN_128")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_54))
+ (portRef I3 (instanceRef XLXI_9_XLXI_58))
+ )
+ )
+ (net (rename XLXI_9_XLXN_114 "XLXI_9/XLXN_114")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_51))
+ (portRef I0 (instanceRef XLXI_9_XLXI_52))
+ )
+ )
+ (net (rename XLXI_9_B_BAR "XLXI_9/B_BAR")
+ (joined
+ (portRef I0 (instanceRef XLXI_9_XLXI_35))
+ (portRef I1 (instanceRef XLXI_9_XLXI_55))
+ (portRef O (instanceRef XLXI_9_XLXI_38))
+ (portRef I1 (instanceRef XLXI_9_XLXI_50))
+ (portRef I0 (instanceRef XLXI_9_XLXI_63))
+ (portRef I0 (instanceRef XLXI_9_XLXI_32))
+ (portRef I0 (instanceRef XLXI_9_XLXI_44))
+ (portRef I1 (instanceRef XLXI_9_XLXI_49))
+ )
+ )
+ (net (rename XLXI_9_XLXN_61 "XLXI_9/XLXN_61")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_30))
+ (portRef I4 (instanceRef XLXI_9_XLXI_34))
+ )
+ )
+ (net (rename XLXI_9_XLXN_148 "XLXI_9/XLXN_148")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_64))
+ (portRef I0 (instanceRef XLXI_9_XLXI_60))
+ )
+ )
+ (net (rename XLXI_9_XLXN_129 "XLXI_9/XLXN_129")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_55))
+ (portRef I2 (instanceRef XLXI_9_XLXI_58))
+ )
+ )
+ (net (rename XLXI_9_XLXN_105 "XLXI_9/XLXN_105")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_46))
+ (portRef I2 (instanceRef XLXI_9_XLXI_47))
+ )
+ )
+ (net (rename XLXI_9_XLXN_91 "XLXI_9/XLXN_91")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_42))
+ (portRef I3 (instanceRef XLXI_9_XLXI_41))
+ )
+ )
+ (net (rename XLXI_9_A_BAR "XLXI_9/A_BAR")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_37))
+ (portRef I2 (instanceRef XLXI_9_XLXI_54))
+ (portRef I2 (instanceRef XLXI_9_XLXI_56))
+ (portRef I0 (instanceRef XLXI_9_XLXI_31))
+ (portRef I0 (instanceRef XLXI_9_XLXI_45))
+ (portRef I (instanceRef XLXI_9_XLXI_72))
+ )
+ )
+ (net (rename XLXI_9_XLXN_65 "XLXI_9/XLXN_65")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_35))
+ (portRef I0 (instanceRef XLXI_9_XLXI_34))
+ )
+ )
+ (net A
+ (joined
+ (portRef A)
+ (portRef I (instanceRef A_IBUF_renamed_0))
+ )
+ )
+ (net B
+ (joined
+ (portRef B)
+ (portRef I (instanceRef B_IBUF_renamed_1))
+ )
+ )
+ (net C
+ (joined
+ (portRef C)
+ (portRef I (instanceRef C_IBUF_renamed_2))
+ )
+ )
+ (net D
+ (joined
+ (portRef D)
+ (portRef I (instanceRef D_IBUF_renamed_3))
+ )
+ )
+ (net S0
+ (joined
+ (portRef S0)
+ (portRef I (instanceRef S0_IBUF_renamed_4))
+ )
+ )
+ (net S1
+ (joined
+ (portRef S1)
+ (portRef I (instanceRef S1_IBUF_renamed_5))
+ )
+ )
+ (net AN0
+ (joined
+ (portRef AN0)
+ (portRef O (instanceRef AN0_OBUF_renamed_6))
+ )
+ )
+ (net AN1
+ (joined
+ (portRef AN1)
+ (portRef O (instanceRef AN1_OBUF_renamed_7))
+ )
+ )
+ (net AN2
+ (joined
+ (portRef AN2)
+ (portRef O (instanceRef AN2_OBUF_renamed_8))
+ )
+ )
+ (net AN3
+ (joined
+ (portRef AN3)
+ (portRef O (instanceRef AN3_OBUF_renamed_9))
+ )
+ )
+ (net a_out
+ (joined
+ (portRef a_out)
+ (portRef O (instanceRef a_out_OBUF_renamed_10))
+ )
+ )
+ (net b_out
+ (joined
+ (portRef b_out)
+ (portRef O (instanceRef b_out_OBUF_renamed_11))
+ )
+ )
+ (net c_out
+ (joined
+ (portRef c_out)
+ (portRef O (instanceRef c_out_OBUF_renamed_12))
+ )
+ )
+ (net d_out
+ (joined
+ (portRef d_out)
+ (portRef O (instanceRef d_out_OBUF_renamed_13))
+ )
+ )
+ (net e_out
+ (joined
+ (portRef e_out)
+ (portRef O (instanceRef e_out_OBUF_renamed_14))
+ )
+ )
+ (net f_out
+ (joined
+ (portRef f_out)
+ (portRef O (instanceRef f_out_OBUF_renamed_15))
+ )
+ )
+ (net g_out
+ (joined
+ (portRef g_out)
+ (portRef O (instanceRef g_out_OBUF_renamed_16))
+ )
+ )
+ (net sign
+ (joined
+ (portRef sign)
+ (portRef O (instanceRef sign_OBUF_renamed_17))
+ )
+ )
+ )
+ )
+ )
+ )
+
+ (design ALU
+ (cellRef ALU
+ (libraryRef ALU_lib)
+ )
+ (property PART (string "xc6slx16-3-csg324") (owner "Xilinx"))
+ )
+)
+
diff --git a/planAhead_run_1/lab1.data/constrs_1/designprops.xml b/planAhead_run_1/lab1.data/constrs_1/designprops.xml
new file mode 100755
index 0000000..ae00c39
--- /dev/null
+++ b/planAhead_run_1/lab1.data/constrs_1/designprops.xml
@@ -0,0 +1,29 @@
+<?xml version="1.0"?>
+<Compat Version="1" Minor="4">
+ <CompatParts>
+ </CompatParts>
+ <ConfigModes>
+ <Mode Id="JTAG"/>
+ </ConfigModes>
+ <PortProps>
+ <Port Name="A" OffChipTerm="NONE"/>
+ <Port Name="B" OffChipTerm="NONE"/>
+ <Port Name="C" OffChipTerm="NONE"/>
+ <Port Name="D" OffChipTerm="NONE"/>
+ <Port Name="S0" OffChipTerm="NONE"/>
+ <Port Name="S1" OffChipTerm="NONE"/>
+ <Port Name="AN0" OffChipTerm="FP_VTT_50"/>
+ <Port Name="AN1" OffChipTerm="FP_VTT_50"/>
+ <Port Name="AN2" OffChipTerm="FP_VTT_50"/>
+ <Port Name="AN3" OffChipTerm="FP_VTT_50"/>
+ <Port Name="a_out" OffChipTerm="FP_VTT_50"/>
+ <Port Name="b_out" OffChipTerm="FP_VTT_50"/>
+ <Port Name="c_out" OffChipTerm="FP_VTT_50"/>
+ <Port Name="d_out" OffChipTerm="FP_VTT_50"/>
+ <Port Name="e_out" OffChipTerm="FP_VTT_50"/>
+ <Port Name="f_out" OffChipTerm="FP_VTT_50"/>
+ <Port Name="g_out" OffChipTerm="FP_VTT_50"/>
+ <Port Name="sign" OffChipTerm="FP_VTT_50"/>
+ </PortProps>
+</Compat>
+
diff --git a/planAhead_run_1/lab1.data/constrs_1/fileset.xml b/planAhead_run_1/lab1.data/constrs_1/fileset.xml
index 80984cf..6fa147b 100755
--- a/planAhead_run_1/lab1.data/constrs_1/fileset.xml
+++ b/planAhead_run_1/lab1.data/constrs_1/fileset.xml
@@ -15,6 +15,26 @@
Val="1"/>
</FileInfo>
</File>
+ <File Path="$PDATADIR/constrs_1/designprops.xml">
+ <FileInfo SFType="CompatPartsDb">
+ <Attr Name="UsedInSynthesis"
+ Val="1"/>
+ <Attr Name="UsedInImplementation"
+ Val="1"/>
+ <Attr Name="UsedInSimulation"
+ Val="1"/>
+ </FileInfo>
+ </File>
+ <File Path="$PDATADIR/constrs_1/usercols.xml">
+ <FileInfo SFType="UserColsDb">
+ <Attr Name="UsedInSynthesis"
+ Val="1"/>
+ <Attr Name="UsedInImplementation"
+ Val="1"/>
+ <Attr Name="UsedInSimulation"
+ Val="1"/>
+ </FileInfo>
+ </File>
<Config>
<Option Name="TargetConstrsFile"
Val="$PPRDIR/../ALU.ucf"/>
diff --git a/planAhead_run_1/lab1.data/constrs_1/usercols.xml b/planAhead_run_1/lab1.data/constrs_1/usercols.xml
new file mode 100755
index 0000000..b2e369a
--- /dev/null
+++ b/planAhead_run_1/lab1.data/constrs_1/usercols.xml
@@ -0,0 +1,4 @@
+<?xml version="1.0"?>
+<UserColInfo Version="1" Minor="0">
+</UserColInfo>
+
diff --git a/planAhead_run_1/lab1.data/runs/impl_1.psg b/planAhead_run_1/lab1.data/runs/impl_1.psg
new file mode 100755
index 0000000..43196a2
--- /dev/null
+++ b/planAhead_run_1/lab1.data/runs/impl_1.psg
@@ -0,0 +1,18 @@
+<?xml version="1.0"?>
+<Strategy Version="1" Minor="2">
+ <StratHandle Name="ISE Defaults" Flow="ISE13">
+ <Desc>ISE Defaults, including packing registers in IOs off</Desc>
+ </StratHandle>
+ <Step Id="ngdbuild">
+ </Step>
+ <Step Id="map">
+ <Option Id="FFPackEnum">3</Option>
+ </Step>
+ <Step Id="par">
+ </Step>
+ <Step Id="trce">
+ </Step>
+ <Step Id="xdl">
+ </Step>
+</Strategy>
+
diff --git a/planAhead_run_1/lab1.data/runs/runs.xml b/planAhead_run_1/lab1.data/runs/runs.xml
new file mode 100755
index 0000000..2651a01
--- /dev/null
+++ b/planAhead_run_1/lab1.data/runs/runs.xml
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="8">
+ <Run Id="impl_1" Type="Ft2:EntireDesign" SrcSet="sources_1" Part="xc6slx16csg324-3" ConstrsSet="constrs_1" State="current"/>
+</Runs>
+
diff --git a/planAhead_run_1/lab1.data/sources_1/chipscope.xml b/planAhead_run_1/lab1.data/sources_1/chipscope.xml
new file mode 100755
index 0000000..af5cfeb
--- /dev/null
+++ b/planAhead_run_1/lab1.data/sources_1/chipscope.xml
@@ -0,0 +1,6 @@
+<?xml version="1.0"?>
+<ChipScope Version="1" Minor="3">
+ <UnassignedNets>
+ </UnassignedNets>
+</ChipScope>
+
diff --git a/planAhead_run_1/lab1.data/sources_1/fileset.xml b/planAhead_run_1/lab1.data/sources_1/fileset.xml
index 8ada118..c8f890f 100755
--- a/planAhead_run_1/lab1.data/sources_1/fileset.xml
+++ b/planAhead_run_1/lab1.data/sources_1/fileset.xml
@@ -4,8 +4,8 @@
<FileSet Name="sources_1"
Type="DesignSrcs"
RelSrcDir="$PSRCDIR/sources_1">
- <Filter Type="Srcs"/>
- <File Path="$PPRDIR/../Negate_2.vf">
+ <Filter Type="EDIFSrcs"/>
+ <File Path="$PPRDIR/../ALU.ngc">
<FileInfo>
<Attr Name="UsedInSynthesis"
Val="1"/>
@@ -15,58 +15,8 @@
Val="1"/>
</FileInfo>
</File>
- <File Path="$PPRDIR/../Negate_1.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../Negate_0.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../Modulo_3.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../Modulo_1.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../Modulo_0.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../Divide_3.vf">
- <FileInfo>
+ <File Path="$PDATADIR/sources_1/ports.xml">
+ <FileInfo SFType="PortsDb">
<Attr Name="UsedInSynthesis"
Val="1"/>
<Attr Name="UsedInImplementation"
@@ -75,88 +25,8 @@
Val="1"/>
</FileInfo>
</File>
- <File Path="$PPRDIR/../Divide_2.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../Divide_1.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../Divide_0.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../sev_seg_disp.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../Negate_3.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../Modulo.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../Divide.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../ALU.vf">
- <FileInfo>
- <Attr Name="UsedInSynthesis"
- Val="1"/>
- <Attr Name="UsedInImplementation"
- Val="1"/>
- <Attr Name="UsedInSimulation"
- Val="1"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/../Negate.vf">
- <FileInfo>
+ <File Path="$PDATADIR/sources_1/chipscope.xml">
+ <FileInfo SFType="ChipscopeDb">
<Attr Name="UsedInSynthesis"
Val="1"/>
<Attr Name="UsedInImplementation"
@@ -167,15 +37,13 @@
</File>
<Config>
<Option Name="DesignMode"
- Val="RTL"/>
+ Val="GateLvl"/>
+ <Option Name="GateLvlMode"
+ Val="EDIF"/>
<Option Name="TopModule"
- Val="Negate"/>
- <Option Name="TopLib"
- Val="work"/>
- <Option Name="TopRTLFile"
- Val="$PPRDIR/../Negate.vf"/>
- <Option Name="TopAutoSet"
- Val="TRUE"/>
+ Val="ALU"/>
+ <Option Name="TopFile"
+ Val="$PPRDIR/../ALU.ngc"/>
</Config>
</FileSet>
</DARoots>
diff --git a/planAhead_run_1/lab1.data/sources_1/ports.xml b/planAhead_run_1/lab1.data/sources_1/ports.xml
new file mode 100755
index 0000000..99a5439
--- /dev/null
+++ b/planAhead_run_1/lab1.data/sources_1/ports.xml
@@ -0,0 +1,24 @@
+<?xml version="1.0"?>
+<Interface Version="1" Minor="1">
+ <Ifc Id="ROOT" Top="1">
+ <Port Id="A" Dir="IN"/>
+ <Port Id="B" Dir="IN"/>
+ <Port Id="C" Dir="IN"/>
+ <Port Id="D" Dir="IN"/>
+ <Port Id="S0" Dir="IN"/>
+ <Port Id="S1" Dir="IN"/>
+ <Port Id="AN0" Dir="OUT"/>
+ <Port Id="AN1" Dir="OUT"/>
+ <Port Id="AN2" Dir="OUT"/>
+ <Port Id="AN3" Dir="OUT"/>
+ <Port Id="a_out" Dir="OUT"/>
+ <Port Id="b_out" Dir="OUT"/>
+ <Port Id="c_out" Dir="OUT"/>
+ <Port Id="d_out" Dir="OUT"/>
+ <Port Id="e_out" Dir="OUT"/>
+ <Port Id="f_out" Dir="OUT"/>
+ <Port Id="g_out" Dir="OUT"/>
+ <Port Id="sign" Dir="OUT"/>
+ </Ifc>
+</Interface>
+
diff --git a/planAhead_run_1/lab1.ppr b/planAhead_run_1/lab1.ppr
index 22481f8..6081e20 100755
--- a/planAhead_run_1/lab1.ppr
+++ b/planAhead_run_1/lab1.ppr
@@ -2,6 +2,7 @@
<Project Version="4" Minor="27">
<FileSet Dir="sources_1" File="fileset.xml"/>
<FileSet Dir="constrs_1" File="fileset.xml"/>
+ <RunSet Dir="runs" File="runs.xml"/>
<DefaultLaunch Dir="$PRUNDIR"/>
<DefaultPromote Dir="$PROMOTEDIR"/>
<Config>
diff --git a/planAhead_run_1/planAhead.jou b/planAhead_run_1/planAhead.jou
index 245a6d0..1ae8ec4 100755
--- a/planAhead_run_1/planAhead.jou
+++ b/planAhead_run_1/planAhead.jou
@@ -1,12 +1,20 @@
#-----------------------------------------------------------
# PlanAhead v13.3 (64-bit)
# Build 147507 by hdbuild on Tue Oct 4 19:13:50 MDT 2011
-# Start of session at: Wed Feb 15 15:13:16 2012
-# Process ID: 3932
-# Log file: X:/My Documents/ec311/lab1/planAhead_run_1/planAhead.log
-# Journal file: X:/My Documents/ec311/lab1/planAhead_run_1/planAhead.jou
+# Start of session at: Thu Feb 16 18:17:07 2012
+# Process ID: 1252
+# Log file: X:/My Documents/ec311/ec311-lab1/planAhead_run_1/planAhead.log
+# Journal file: X:/My Documents/ec311/ec311-lab1/planAhead_run_1/planAhead.jou
#-----------------------------------------------------------
start_gui
-source {X:/My Documents/ec311/lab1/pa.fromHdl.tcl}
-exit
-stop_gui
+source {X:/My Documents/ec311/ec311-lab1/pa.fromNetlist.tcl}
+startgroup
+set_property loc PAD2 [get_ports A]
+endgroup
+startgroup
+set_property loc PAD30 [get_ports D]
+endgroup
+startgroup
+set_property loc PAD29 [get_ports C]
+endgroup
+save_design
diff --git a/planAhead_run_1/planAhead.log b/planAhead_run_1/planAhead.log
index fda2b18..19f24a3 100755
--- a/planAhead_run_1/planAhead.log
+++ b/planAhead_run_1/planAhead.log
@@ -1,83 +1,48 @@
#-----------------------------------------------------------
# PlanAhead v13.3 (64-bit)
# Build 147507 by hdbuild on Tue Oct 4 19:13:50 MDT 2011
-# Start of session at: Wed Feb 15 15:13:16 2012
-# Process ID: 3932
-# Log file: X:/My Documents/ec311/lab1/planAhead_run_1/planAhead.log
-# Journal file: X:/My Documents/ec311/lab1/planAhead_run_1/planAhead.jou
+# Start of session at: Thu Feb 16 18:17:07 2012
+# Process ID: 1252
+# Log file: X:/My Documents/ec311/ec311-lab1/planAhead_run_1/planAhead.log
+# Journal file: X:/My Documents/ec311/ec311-lab1/planAhead_run_1/planAhead.jou
#-----------------------------------------------------------
INFO: [Common-78] Attempting to get a license: PlanAhead
INFO: [Common-82] Got a license: PlanAhead
INFO: [Device-25] Loading parts and site information from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\arch.xmlParsing RTL primitives file [C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\rtl\prims\rtl_prims.xml]
Finished parsing RTL primitives file [C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\rtl\prims\rtl_prims.xml]
start_gui
-source {X:/My Documents/ec311/lab1/pa.fromHdl.tcl}
-# create_project -name lab1 -dir "X:/My Documents/ec311/lab1/planAhead_run_1" -part xc6slx16csg324-3
+source {X:/My Documents/ec311/ec311-lab1/pa.fromNetlist.tcl}
+# create_project -name lab1 -dir "X:/My Documents/ec311/ec311-lab1/planAhead_run_1" -part xc6slx16csg324-3
Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\verilog.xml].
Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\verilog.xml].
Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\vhdl.xml].
Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\vhdl.xml].
Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\ucf.xml].
Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\ucf.xml].
-# set_param project.pinAheadLayout yes
-# set srcset [get_property srcset [current_run -impl]]
-# set_property top ALU $srcset
+# set_property design_mode GateLvl [get_property srcset [current_run -impl]]
+# set_property edif_top_file "X:/My Documents/ec311/ec311-lab1/ALU.ngc" [ get_property srcset [ current_run ] ]
+# add_files -norecurse { {X:/My Documents/ec311/ec311-lab1} }
+# set_param project.pinAheadLayout yes
# set_property target_constrs_file "ALU.ucf" [current_fileset -constrset]
-Adding file 'X:\My Documents\ec311\lab1\ALU.ucf' to fileset 'constrs_1'
-CRITICAL WARNING: [Designutils-735] The top module "ALU" specified for this project can not be validated. The current project is using automatic hierarchy update mode, and hence a new suitable replacement top will be automatically selected. If this is not desired, please change the hierarchy update mode to one of the manual compile order modes first, and then set top to any desired value.
-# set hdlfile [add_files [list {Negate_3.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Negate_2.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Negate_1.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Negate_0.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Modulo_3.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Modulo_1.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Modulo_0.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Divide_3.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Divide_2.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Divide_1.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Divide_0.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {sev_seg_disp.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Negate.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Modulo.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Divide.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {ALU.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
+Adding file 'X:\My Documents\ec311\ec311-lab1\ALU.ucf' to fileset 'constrs_1'
# add_files [list {ALU.ucf}] -fileset [get_property constrset [current_run]]
-# open_rtl_design -part xc6slx16csg324-3
-INFO: [PlanAhead-58] Using Verific elaboration
-Parsing VHDL file "C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\rtl\lib\synplify\synattr.vhd" into library synplify
-Analyzing Verilog file "X:\My Documents\ec311\lab1\Negate.vf" into library work
+# open_netlist_design
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+Design is defaulting to part: xc6slx16csg324-3
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91624 kilobytes
+
+Parsing EDIF File [.\planAhead_run_1\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_1\lab1.data\cache\ALU_ngc_c04f956c.edif]
Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
@@ -85,30 +50,26 @@ Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spart
Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
Loading device configuration modes from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/ConfigModes.xml
Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
-Parsing UCF File [X:\My Documents\ec311\lab1\ALU.ucf]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'A' [X:\My Documents\ec311\lab1\ALU.ucf:4]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'AN0' [X:\My Documents\ec311\lab1\ALU.ucf:5]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'AN1' [X:\My Documents\ec311\lab1\ALU.ucf:6]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'AN2' [X:\My Documents\ec311\lab1\ALU.ucf:7]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'AN3' [X:\My Documents\ec311\lab1\ALU.ucf:8]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'B' [X:\My Documents\ec311\lab1\ALU.ucf:9]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'C' [X:\My Documents\ec311\lab1\ALU.ucf:10]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'D' [X:\My Documents\ec311\lab1\ALU.ucf:11]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'S0' [X:\My Documents\ec311\lab1\ALU.ucf:12]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'S1' [X:\My Documents\ec311\lab1\ALU.ucf:13]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'a_out' [X:\My Documents\ec311\lab1\ALU.ucf:14]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'b_out' [X:\My Documents\ec311\lab1\ALU.ucf:15]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'c_out' [X:\My Documents\ec311\lab1\ALU.ucf:16]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'd_out' [X:\My Documents\ec311\lab1\ALU.ucf:17]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'e_out' [X:\My Documents\ec311\lab1\ALU.ucf:18]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'f_out' [X:\My Documents\ec311\lab1\ALU.ucf:19]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'g_out' [X:\My Documents\ec311\lab1\ALU.ucf:20]
-CRITICAL WARNING: [Constraints-11] Could not find net or pin 'sign' [X:\My Documents\ec311\lab1\ALU.ucf:21]
-Finished Parsing UCF File [X:\My Documents\ec311\lab1\ALU.ucf]
-INFO: [Designutils-20] Invalid constraints found, use command 'write_ucf -constraints invalid <file>' to save all the invalid constraints to a file
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
INFO: [PlanAhead-566] Unisim Transformation Summary:
-No Unisim elements were transformed.open_rtl_design: Time (s): 13.288w. Memory (MB): 788.289p 223.703g
-exit
-stop_gui
-INFO: [PlanAhead-261] Exiting PlanAhead...
-INFO: [Common-83] Releasing license: PlanAhead
+No Unisim elements were transformed.open_netlist_design: Time (s): 13.291w. Memory (MB): 734.672p 186.648g
+startgroup
+startgroup
+set_property loc PAD2 [get_ports A]
+set_property loc PAD2 [get_ports A]
+endgroup
+endgroup
+startgroup
+startgroup
+set_property loc PAD30 [get_ports D]
+set_property loc PAD30 [get_ports D]
+endgroup
+endgroup
+startgroup
+startgroup
+set_property loc PAD29 [get_ports C]
+set_property loc PAD29 [get_ports C]
+endgroup
+endgroup
+save_design
diff --git a/planAhead_run_1/planAhead_run.log b/planAhead_run_1/planAhead_run.log
index bae2bd8..9d4c5fa 100755
--- a/planAhead_run_1/planAhead_run.log
+++ b/planAhead_run_1/planAhead_run.log
@@ -9,72 +9,38 @@ INFO: [Device-25] Loading parts and site information from C:/Xilinx/13.3/ISE_DS/
Finished parsing RTL primitives file [C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\rtl\prims\rtl_prims.xml]
start_gui
starting gui ...
-source {X:/My Documents/ec311/lab1/pa.fromHdl.tcl}
-# create_project -name lab1 -dir "X:/My Documents/ec311/lab1/planAhead_run_1" -part xc6slx16csg324-3
+source {X:/My Documents/ec311/ec311-lab1/pa.fromNetlist.tcl}
+# create_project -name lab1 -dir "X:/My Documents/ec311/ec311-lab1/planAhead_run_1" -part xc6slx16csg324-3
Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\verilog.xml].
Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\verilog.xml].
Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\vhdl.xml].
Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\vhdl.xml].
Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\ucf.xml].
Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\ucf.xml].
-# set_param project.pinAheadLayout yes
-# set srcset [get_property srcset [current_run -impl]]
-# set_property top ALU $srcset
+# set_property design_mode GateLvl [get_property srcset [current_run -impl]]
+# set_property edif_top_file "X:/My Documents/ec311/ec311-lab1/ALU.ngc" [ get_property srcset [ current_run ] ]
+# add_files -norecurse { {X:/My Documents/ec311/ec311-lab1} }
+# set_param project.pinAheadLayout yes
# set_property target_constrs_file "ALU.ucf" [current_fileset -constrset]
-Adding file 'X:\My Documents\ec311\lab1\ALU.ucf' to fileset 'constrs_1'
-# set hdlfile [add_files [list {Negate_3.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Negate_2.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Negate_1.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Negate_0.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Modulo_3.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Modulo_1.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Modulo_0.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Divide_3.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Divide_2.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Divide_1.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Divide_0.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {sev_seg_disp.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Negate.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Modulo.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {Divide.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
-# set hdlfile [add_files [list {ALU.vf}]]
-# set_property file_type Verilog $hdlfile
-# set_property library work $hdlfile
+Adding file 'X:\My Documents\ec311\ec311-lab1\ALU.ucf' to fileset 'constrs_1'
# add_files [list {ALU.ucf}] -fileset [get_property constrset [current_run]]
-# open_rtl_design -part xc6slx16csg324-3
-INFO: [PlanAhead-58] Using Verific elaboration
-Parsing VHDL file "C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\rtl\lib\synplify\synattr.vhd" into library synplify
-Analyzing Verilog file "X:\My Documents\ec311\lab1\Negate.vf" into library work
+# open_netlist_design
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+Design is defaulting to part: xc6slx16csg324-3
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91624 kilobytes
+
+Parsing EDIF File [.\planAhead_run_1\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_1\lab1.data\cache\ALU_ngc_c04f956c.edif]
Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
@@ -82,12 +48,26 @@ Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spart
Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
Loading device configuration modes from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/ConfigModes.xml
Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
-Parsing UCF File [X:\My Documents\ec311\lab1\ALU.ucf]
-Finished Parsing UCF File [X:\My Documents\ec311\lab1\ALU.ucf]
-INFO: [Designutils-20] Invalid constraints found, use command 'write_ucf -constraints invalid <file>' to save all the invalid constraints to a file
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
INFO: [PlanAhead-566] Unisim Transformation Summary:
-No Unisim elements were transformed.open_rtl_design: Time (s): 13.288w. Memory (MB): 788.289p 223.703g
-exit
-stop_gui
-INFO: [PlanAhead-261] Exiting PlanAhead...
-INFO: [Common-83] Releasing license: PlanAhead
+No Unisim elements were transformed.open_netlist_design: Time (s): 13.291w. Memory (MB): 734.672p 186.648g
+startgroup
+startgroup
+set_property loc PAD2 [get_ports A]
+set_property loc PAD2 [get_ports A]
+endgroup
+endgroup
+startgroup
+startgroup
+set_property loc PAD30 [get_ports D]
+set_property loc PAD30 [get_ports D]
+endgroup
+endgroup
+startgroup
+startgroup
+set_property loc PAD29 [get_ports C]
+set_property loc PAD29 [get_ports C]
+endgroup
+endgroup
+save_design
diff --git a/planAhead_run_2/lab1.data/cache/ALU_ngc_c04f956c.edif b/planAhead_run_2/lab1.data/cache/ALU_ngc_c04f956c.edif
new file mode 100755
index 0000000..b8c1e02
--- /dev/null
+++ b/planAhead_run_2/lab1.data/cache/ALU_ngc_c04f956c.edif
@@ -0,0 +1,2476 @@
+(edif ALU
+ (edifVersion 2 0 0)
+ (edifLevel 0)
+ (keywordMap (keywordLevel 0))
+ (status
+ (written
+ (timestamp 2012 2 16 19 53 2)
+ (program "Xilinx ngc2edif" (version "O.76xd"))
+ (author "Xilinx. Inc ")
+ (comment "This EDIF netlist is to be used within supported synthesis tools")
+ (comment "for determining resource/timing estimates of the design component")
+ (comment "represented by this netlist.")
+ (comment "Command line: -mdp2sp -w -secure ALU.ngc ALU.edif ")))
+ (external UNISIMS
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell GND
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port G
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell VCC
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port P
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OR3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OR2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell INV
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell AND2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell AND4
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell AND3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OR5
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port I4
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OR4
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT6
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port I4
+ (direction INPUT)
+ )
+ (port I5
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell BUF
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell XOR2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell XNOR2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell IBUF
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OBUF
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ )
+
+ (library ALU_lib
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell (rename M4_1E_HXILINX_ALU_NO3_XLXI_4 "M4_1E_HXILINX_ALU")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port D0
+ (direction INPUT)
+ )
+ (port D1
+ (direction INPUT)
+ )
+ (port D2
+ (direction INPUT)
+ )
+ (port D3
+ (direction INPUT)
+ )
+ (port E
+ (direction INPUT)
+ )
+ (port S0
+ (direction INPUT)
+ )
+ (port S1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ (contents
+ (instance Mmux_O11
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DFD5DAD08F858A80") (owner "Xilinx"))
+ )
+ (net D0
+ (joined
+ (portRef D0)
+ (portRef I4 (instanceRef Mmux_O11))
+ )
+ )
+ (net D1
+ (joined
+ (portRef D1)
+ (portRef I3 (instanceRef Mmux_O11))
+ )
+ )
+ (net D2
+ (joined
+ (portRef D2)
+ (portRef I5 (instanceRef Mmux_O11))
+ )
+ )
+ (net D3
+ (joined
+ (portRef D3)
+ (portRef I1 (instanceRef Mmux_O11))
+ )
+ )
+ (net S0
+ (joined
+ (portRef S0)
+ (portRef I0 (instanceRef Mmux_O11))
+ )
+ )
+ (net S1
+ (joined
+ (portRef S1)
+ (portRef I2 (instanceRef Mmux_O11))
+ )
+ )
+ (net O
+ (joined
+ (portRef O)
+ (portRef O (instanceRef Mmux_O11))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename M4_1E_HXILINX_ALU_NO2_XLXI_5 "M4_1E_HXILINX_ALU")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port D0
+ (direction INPUT)
+ )
+ (port D1
+ (direction INPUT)
+ )
+ (port D2
+ (direction INPUT)
+ )
+ (port D3
+ (direction INPUT)
+ )
+ (port E
+ (direction INPUT)
+ )
+ (port S0
+ (direction INPUT)
+ )
+ (port S1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ (contents
+ (instance Mmux_O11
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DFD5DAD08F858A80") (owner "Xilinx"))
+ )
+ (net D0
+ (joined
+ (portRef D0)
+ (portRef I4 (instanceRef Mmux_O11))
+ )
+ )
+ (net D1
+ (joined
+ (portRef D1)
+ (portRef I3 (instanceRef Mmux_O11))
+ )
+ )
+ (net D2
+ (joined
+ (portRef D2)
+ (portRef I5 (instanceRef Mmux_O11))
+ )
+ )
+ (net D3
+ (joined
+ (portRef D3)
+ (portRef I1 (instanceRef Mmux_O11))
+ )
+ )
+ (net S0
+ (joined
+ (portRef S0)
+ (portRef I0 (instanceRef Mmux_O11))
+ )
+ )
+ (net S1
+ (joined
+ (portRef S1)
+ (portRef I2 (instanceRef Mmux_O11))
+ )
+ )
+ (net O
+ (joined
+ (portRef O)
+ (portRef O (instanceRef Mmux_O11))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename M4_1E_HXILINX_ALU_NO1_XLXI_6 "M4_1E_HXILINX_ALU")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port D0
+ (direction INPUT)
+ )
+ (port D1
+ (direction INPUT)
+ )
+ (port D2
+ (direction INPUT)
+ )
+ (port D3
+ (direction INPUT)
+ )
+ (port E
+ (direction INPUT)
+ )
+ (port S0
+ (direction INPUT)
+ )
+ (port S1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ (contents
+ (instance Mmux_O11
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DFD5DAD08F858A80") (owner "Xilinx"))
+ )
+ (net D0
+ (joined
+ (portRef D0)
+ (portRef I4 (instanceRef Mmux_O11))
+ )
+ )
+ (net D1
+ (joined
+ (portRef D1)
+ (portRef I3 (instanceRef Mmux_O11))
+ )
+ )
+ (net D2
+ (joined
+ (portRef D2)
+ (portRef I5 (instanceRef Mmux_O11))
+ )
+ )
+ (net D3
+ (joined
+ (portRef D3)
+ (portRef I1 (instanceRef Mmux_O11))
+ )
+ )
+ (net S0
+ (joined
+ (portRef S0)
+ (portRef I0 (instanceRef Mmux_O11))
+ )
+ )
+ (net S1
+ (joined
+ (portRef S1)
+ (portRef I2 (instanceRef Mmux_O11))
+ )
+ )
+ (net O
+ (joined
+ (portRef O)
+ (portRef O (instanceRef Mmux_O11))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename M4_1E_HXILINX_ALU_XLXI_7 "M4_1E_HXILINX_ALU")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port D0
+ (direction INPUT)
+ )
+ (port D1
+ (direction INPUT)
+ )
+ (port D2
+ (direction INPUT)
+ )
+ (port D3
+ (direction INPUT)
+ )
+ (port E
+ (direction INPUT)
+ )
+ (port S0
+ (direction INPUT)
+ )
+ (port S1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ (contents
+ (instance Mmux_O11
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DFD5DAD08F858A80") (owner "Xilinx"))
+ )
+ (net D0
+ (joined
+ (portRef D0)
+ (portRef I4 (instanceRef Mmux_O11))
+ )
+ )
+ (net D1
+ (joined
+ (portRef D1)
+ (portRef I3 (instanceRef Mmux_O11))
+ )
+ )
+ (net D2
+ (joined
+ (portRef D2)
+ (portRef I5 (instanceRef Mmux_O11))
+ )
+ )
+ (net D3
+ (joined
+ (portRef D3)
+ (portRef I1 (instanceRef Mmux_O11))
+ )
+ )
+ (net S0
+ (joined
+ (portRef S0)
+ (portRef I0 (instanceRef Mmux_O11))
+ )
+ )
+ (net S1
+ (joined
+ (portRef S1)
+ (portRef I2 (instanceRef Mmux_O11))
+ )
+ )
+ (net O
+ (joined
+ (portRef O)
+ (portRef O (instanceRef Mmux_O11))
+ )
+ )
+ )
+ )
+ )
+ (cell ALU
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port A
+ (direction INPUT)
+ )
+ (port B
+ (direction INPUT)
+ )
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port S0
+ (direction INPUT)
+ )
+ (port S1
+ (direction INPUT)
+ )
+ (port AN0
+ (direction OUTPUT)
+ )
+ (port AN1
+ (direction OUTPUT)
+ )
+ (port AN2
+ (direction OUTPUT)
+ )
+ (port AN3
+ (direction OUTPUT)
+ )
+ (port a_out
+ (direction OUTPUT)
+ )
+ (port b_out
+ (direction OUTPUT)
+ )
+ (port c_out
+ (direction OUTPUT)
+ )
+ (port d_out
+ (direction OUTPUT)
+ )
+ (port e_out
+ (direction OUTPUT)
+ )
+ (port f_out
+ (direction OUTPUT)
+ )
+ (port g_out
+ (direction OUTPUT)
+ )
+ (port sign
+ (direction OUTPUT)
+ )
+ (designator "xc6slx16-3-csg324")
+ (property TYPE (string "ALU") (owner "Xilinx"))
+ (property SHREG_MIN_SIZE (string "2") (owner "Xilinx"))
+ (property SHREG_EXTRACT_NGC (string "YES") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "ALU_ALU") (owner "Xilinx"))
+ )
+ (contents
+ (instance XST_GND
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance XLXI_8
+ (viewRef view_1 (cellRef VCC (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_2 "XLXI_1/XLXI_8/XLXI_2")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_5 "XLXI_1/XLXI_8/XLXI_5")
+ (viewRef view_1 (cellRef OR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_7 "XLXI_1/XLXI_8/XLXI_7")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_6 "XLXI_1/XLXI_8/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_4 "XLXI_1/XLXI_8/XLXI_4")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_8 "XLXI_1/XLXI_8/XLXI_8")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_3 "XLXI_1/XLXI_8/XLXI_3")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_9 "XLXI_1/XLXI_8/XLXI_9")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_8_XLXI_1 "XLXI_1/XLXI_8/XLXI_1")
+ (viewRef view_1 (cellRef AND4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_9_XLXI_12 "XLXI_1/XLXI_9/XLXI_12")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_9_XLXI_10 "XLXI_1/XLXI_9/XLXI_10")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_9_XLXI_16 "XLXI_1/XLXI_9/XLXI_16")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_9_XLXI_15 "XLXI_1/XLXI_9/XLXI_15")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_9_XLXI_13 "XLXI_1/XLXI_9/XLXI_13")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_10_XLXI_4 "XLXI_1/XLXI_10/XLXI_4")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_10_XLXI_2 "XLXI_1/XLXI_10/XLXI_2")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_10_XLXI_6 "XLXI_1/XLXI_10/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_10_XLXI_7 "XLXI_1/XLXI_10/XLXI_7")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_10_XLXI_8 "XLXI_1/XLXI_10/XLXI_8")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_7 "XLXI_1/XLXI_12/XLXI_7")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_9 "XLXI_1/XLXI_12/XLXI_9")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_5 "XLXI_1/XLXI_12/XLXI_5")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_3 "XLXI_1/XLXI_12/XLXI_3")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_2 "XLXI_1/XLXI_12/XLXI_2")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_6 "XLXI_1/XLXI_12/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_1_XLXI_12_XLXI_1 "XLXI_1/XLXI_12/XLXI_1")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_4 "XLXI_2/XLXI_2/XLXI_4")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_2 "XLXI_2/XLXI_2/XLXI_2")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_7 "XLXI_2/XLXI_2/XLXI_7")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_5 "XLXI_2/XLXI_2/XLXI_5")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_1 "XLXI_2/XLXI_2/XLXI_1")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_6 "XLXI_2/XLXI_2/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_2_XLXI_3 "XLXI_2/XLXI_2/XLXI_3")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_4 "XLXI_2/XLXI_1/XLXI_4")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_2 "XLXI_2/XLXI_1/XLXI_2")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_7 "XLXI_2/XLXI_1/XLXI_7")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_5 "XLXI_2/XLXI_1/XLXI_5")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_1 "XLXI_2/XLXI_1/XLXI_1")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_6 "XLXI_2/XLXI_1/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_1_XLXI_3 "XLXI_2/XLXI_1/XLXI_3")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_22 "XLXI_2/XLXI_3/XLXI_22")
+ (viewRef view_1 (cellRef OR5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_20 "XLXI_2/XLXI_3/XLXI_20")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_15 "XLXI_2/XLXI_3/XLXI_15")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_2 "XLXI_2/XLXI_3/XLXI_2")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_19 "XLXI_2/XLXI_3/XLXI_19")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_7 "XLXI_2/XLXI_3/XLXI_7")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_18 "XLXI_2/XLXI_3/XLXI_18")
+ (viewRef view_1 (cellRef AND4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_16 "XLXI_2/XLXI_3/XLXI_16")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_5 "XLXI_2/XLXI_3/XLXI_5")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_1 "XLXI_2/XLXI_3/XLXI_1")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_21 "XLXI_2/XLXI_3/XLXI_21")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_11 "XLXI_2/XLXI_3/XLXI_11")
+ (viewRef view_1 (cellRef AND4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_6 "XLXI_2/XLXI_3/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_3_XLXI_3 "XLXI_2/XLXI_3/XLXI_3")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_8_XLXI_8 "XLXI_3/XLXI_8/XLXI_8")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_8_XLXI_10 "XLXI_3/XLXI_8/XLXI_10")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_8_XLXI_9 "XLXI_3/XLXI_8/XLXI_9")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_8_XLXI_12 "XLXI_3/XLXI_8/XLXI_12")
+ (viewRef view_1 (cellRef OR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_4 "XLXI_3/XLXI_9/XLXI_4")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_3 "XLXI_3/XLXI_9/XLXI_3")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_5 "XLXI_3/XLXI_9/XLXI_5")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_6 "XLXI_3/XLXI_9/XLXI_6")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_1 "XLXI_3/XLXI_9/XLXI_1")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_7 "XLXI_3/XLXI_9/XLXI_7")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_9_XLXI_2 "XLXI_3/XLXI_9/XLXI_2")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_8 "XLXI_3/XLXI_10/XLXI_8")
+ (viewRef view_1 (cellRef OR4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_9 "XLXI_3/XLXI_10/XLXI_9")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_15 "XLXI_3/XLXI_10/XLXI_15")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_14 "XLXI_3/XLXI_10/XLXI_14")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_16 "XLXI_3/XLXI_10/XLXI_16")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_10 "XLXI_3/XLXI_10/XLXI_10")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_17 "XLXI_3/XLXI_10/XLXI_17")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_10_XLXI_11 "XLXI_3/XLXI_10/XLXI_11")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_12_XLXI_16 "XLXI_3/XLXI_12/XLXI_16")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_12_XLXI_15 "XLXI_3/XLXI_12/XLXI_15")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_3_XLXI_12_XLXI_14 "XLXI_3/XLXI_12/XLXI_14")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_14 "XLXI_2/XLXI_4/XLXI_14")
+ (viewRef view_1 (cellRef OR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_4 "XLXI_2/XLXI_4/XLXI_4")
+ (viewRef view_1 (cellRef OR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_16 "XLXI_2/XLXI_4/XLXI_16")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_12 "XLXI_2/XLXI_4/XLXI_12")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_2 "XLXI_2/XLXI_4/XLXI_2")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_13 "XLXI_2/XLXI_4/XLXI_13")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_9 "XLXI_2/XLXI_4/XLXI_9")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_15 "XLXI_2/XLXI_4/XLXI_15")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_11 "XLXI_2/XLXI_4/XLXI_11")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_2_XLXI_4_XLXI_10 "XLXI_2/XLXI_4/XLXI_10")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance XLXI_7
+ (viewRef view_1 (cellRef M4_1E_HXILINX_ALU_XLXI_7 (libraryRef ALU_lib)))
+ (property HU_SET (string "XLXI_7_3") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 1) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "M4_1E_HXILINX_ALU_XLXI_7") (owner "Xilinx"))
+ )
+ (instance XLXI_6
+ (viewRef view_1 (cellRef M4_1E_HXILINX_ALU_NO1_XLXI_6 (libraryRef ALU_lib)))
+ (property HU_SET (string "XLXI_6_2") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 1) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 2) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "M4_1E_HXILINX_ALU_NO1_XLXI_6") (owner "Xilinx"))
+ )
+ (instance XLXI_5
+ (viewRef view_1 (cellRef M4_1E_HXILINX_ALU_NO2_XLXI_5 (libraryRef ALU_lib)))
+ (property HU_SET (string "XLXI_5_1") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 2) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 3) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "M4_1E_HXILINX_ALU_NO2_XLXI_5") (owner "Xilinx"))
+ )
+ (instance XLXI_4
+ (viewRef view_1 (cellRef M4_1E_HXILINX_ALU_NO3_XLXI_4 (libraryRef ALU_lib)))
+ (property HU_SET (string "XLXI_4_0") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 3) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 4) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "M4_1E_HXILINX_ALU_NO3_XLXI_4") (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_8 "XLXI_9/XLXI_8")
+ (viewRef view_1 (cellRef BUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_7 "XLXI_9/XLXI_7")
+ (viewRef view_1 (cellRef BUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_6 "XLXI_9/XLXI_6")
+ (viewRef view_1 (cellRef BUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_5 "XLXI_9/XLXI_5")
+ (viewRef view_1 (cellRef BUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_68 "XLXI_9/XLXI_68")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_69 "XLXI_9/XLXI_69")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_71 "XLXI_9/XLXI_71")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_70 "XLXI_9/XLXI_70")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_66 "XLXI_9/XLXI_66")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_73 "XLXI_9/XLXI_73")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_65 "XLXI_9/XLXI_65")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_67 "XLXI_9/XLXI_67")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_53 "XLXI_9/XLXI_53")
+ (viewRef view_1 (cellRef OR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_57 "XLXI_9/XLXI_57")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_43 "XLXI_9/XLXI_43")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_52 "XLXI_9/XLXI_52")
+ (viewRef view_1 (cellRef OR4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_49 "XLXI_9/XLXI_49")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_44 "XLXI_9/XLXI_44")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_32 "XLXI_9/XLXI_32")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_60 "XLXI_9/XLXI_60")
+ (viewRef view_1 (cellRef OR4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_58 "XLXI_9/XLXI_58")
+ (viewRef view_1 (cellRef OR4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_41 "XLXI_9/XLXI_41")
+ (viewRef view_1 (cellRef OR4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_72 "XLXI_9/XLXI_72")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_34 "XLXI_9/XLXI_34")
+ (viewRef view_1 (cellRef OR5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_47 "XLXI_9/XLXI_47")
+ (viewRef view_1 (cellRef OR3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_40 "XLXI_9/XLXI_40")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_63 "XLXI_9/XLXI_63")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_62 "XLXI_9/XLXI_62")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_50 "XLXI_9/XLXI_50")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_48 "XLXI_9/XLXI_48")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_45 "XLXI_9/XLXI_45")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_39 "XLXI_9/XLXI_39")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_33 "XLXI_9/XLXI_33")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_31 "XLXI_9/XLXI_31")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_61 "XLXI_9/XLXI_61")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_56 "XLXI_9/XLXI_56")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_54 "XLXI_9/XLXI_54")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_51 "XLXI_9/XLXI_51")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_38 "XLXI_9/XLXI_38")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_30 "XLXI_9/XLXI_30")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_64 "XLXI_9/XLXI_64")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_55 "XLXI_9/XLXI_55")
+ (viewRef view_1 (cellRef AND3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_46 "XLXI_9/XLXI_46")
+ (viewRef view_1 (cellRef XOR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_42 "XLXI_9/XLXI_42")
+ (viewRef view_1 (cellRef XNOR2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_37 "XLXI_9/XLXI_37")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename XLXI_9_XLXI_35 "XLXI_9/XLXI_35")
+ (viewRef view_1 (cellRef AND2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename A_IBUF_renamed_0 "A_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename B_IBUF_renamed_1 "B_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename C_IBUF_renamed_2 "C_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename D_IBUF_renamed_3 "D_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename S0_IBUF_renamed_4 "S0_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename S1_IBUF_renamed_5 "S1_IBUF")
+ (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename AN0_OBUF_renamed_6 "AN0_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename AN1_OBUF_renamed_7 "AN1_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename AN2_OBUF_renamed_8 "AN2_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename AN3_OBUF_renamed_9 "AN3_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename a_out_OBUF_renamed_10 "a_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename b_out_OBUF_renamed_11 "b_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename c_out_OBUF_renamed_12 "c_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename d_out_OBUF_renamed_13 "d_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename e_out_OBUF_renamed_14 "e_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename f_out_OBUF_renamed_15 "f_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename g_out_OBUF_renamed_16 "g_out_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename sign_OBUF_renamed_17 "sign_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (net A_IBUF
+ (joined
+ (portRef I (instanceRef XLXI_1_XLXI_8_XLXI_7))
+ (portRef I0 (instanceRef XLXI_1_XLXI_8_XLXI_1))
+ (portRef I1 (instanceRef XLXI_1_XLXI_9_XLXI_10))
+ (portRef I1 (instanceRef XLXI_1_XLXI_10_XLXI_2))
+ (portRef I (instanceRef XLXI_1_XLXI_12_XLXI_9))
+ (portRef I1 (instanceRef XLXI_1_XLXI_12_XLXI_1))
+ (portRef I2 (instanceRef XLXI_2_XLXI_2_XLXI_2))
+ (portRef I2 (instanceRef XLXI_2_XLXI_2_XLXI_1))
+ (portRef I2 (instanceRef XLXI_2_XLXI_2_XLXI_3))
+ (portRef I2 (instanceRef XLXI_2_XLXI_1_XLXI_2))
+ (portRef I2 (instanceRef XLXI_2_XLXI_1_XLXI_1))
+ (portRef I2 (instanceRef XLXI_2_XLXI_1_XLXI_3))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_20))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_15))
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_2))
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_1))
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_3))
+ (portRef I0 (instanceRef XLXI_3_XLXI_8_XLXI_8))
+ (portRef I2 (instanceRef XLXI_3_XLXI_9_XLXI_3))
+ (portRef I1 (instanceRef XLXI_3_XLXI_10_XLXI_9))
+ (portRef I (instanceRef XLXI_3_XLXI_12_XLXI_15))
+ (portRef D0 (instanceRef XLXI_4))
+ (portRef O (instanceRef A_IBUF_renamed_0))
+ )
+ )
+ (net B_IBUF
+ (joined
+ (portRef I (instanceRef XLXI_1_XLXI_8_XLXI_6))
+ (portRef I1 (instanceRef XLXI_1_XLXI_8_XLXI_4))
+ (portRef I (instanceRef XLXI_1_XLXI_9_XLXI_16))
+ (portRef I (instanceRef XLXI_1_XLXI_10_XLXI_6))
+ (portRef I (instanceRef XLXI_2_XLXI_2_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_2_XLXI_1))
+ (portRef I (instanceRef XLXI_2_XLXI_1_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_1_XLXI_1))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_19))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_1))
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_11))
+ (portRef I (instanceRef XLXI_3_XLXI_8_XLXI_10))
+ (portRef I (instanceRef XLXI_3_XLXI_9_XLXI_5))
+ (portRef I2 (instanceRef XLXI_3_XLXI_10_XLXI_15))
+ (portRef I (instanceRef XLXI_3_XLXI_10_XLXI_14))
+ (portRef I2 (instanceRef XLXI_3_XLXI_12_XLXI_14))
+ (portRef I1 (instanceRef XLXI_2_XLXI_4_XLXI_2))
+ (portRef I (instanceRef XLXI_2_XLXI_4_XLXI_12))
+ (portRef D0 (instanceRef XLXI_5))
+ (portRef O (instanceRef B_IBUF_renamed_1))
+ )
+ )
+ (net C_IBUF
+ (joined
+ (portRef I (instanceRef XLXI_1_XLXI_8_XLXI_8))
+ (portRef I1 (instanceRef XLXI_1_XLXI_8_XLXI_3))
+ (portRef I1 (instanceRef XLXI_1_XLXI_8_XLXI_1))
+ (portRef I (instanceRef XLXI_1_XLXI_9_XLXI_15))
+ (portRef I (instanceRef XLXI_1_XLXI_10_XLXI_7))
+ (portRef I (instanceRef XLXI_1_XLXI_12_XLXI_5))
+ (portRef I0 (instanceRef XLXI_1_XLXI_12_XLXI_3))
+ (portRef I0 (instanceRef XLXI_1_XLXI_12_XLXI_2))
+ (portRef I (instanceRef XLXI_2_XLXI_2_XLXI_5))
+ (portRef I0 (instanceRef XLXI_2_XLXI_2_XLXI_1))
+ (portRef I (instanceRef XLXI_2_XLXI_1_XLXI_5))
+ (portRef I0 (instanceRef XLXI_2_XLXI_1_XLXI_1))
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_18))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_16))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_5))
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_1))
+ (portRef I (instanceRef XLXI_3_XLXI_8_XLXI_9))
+ (portRef I (instanceRef XLXI_3_XLXI_9_XLXI_6))
+ (portRef I0 (instanceRef XLXI_3_XLXI_9_XLXI_1))
+ (portRef I (instanceRef XLXI_3_XLXI_10_XLXI_16))
+ (portRef I0 (instanceRef XLXI_3_XLXI_10_XLXI_10))
+ (portRef I1 (instanceRef XLXI_3_XLXI_12_XLXI_14))
+ (portRef I1 (instanceRef XLXI_2_XLXI_4_XLXI_15))
+ (portRef I (instanceRef XLXI_2_XLXI_4_XLXI_9))
+ (portRef I (instanceRef XLXI_2_XLXI_4_XLXI_13))
+ (portRef D0 (instanceRef XLXI_6))
+ (portRef O (instanceRef C_IBUF_renamed_2))
+ )
+ )
+ (net D_IBUF
+ (joined
+ (portRef I (instanceRef XLXI_1_XLXI_8_XLXI_9))
+ (portRef I3 (instanceRef XLXI_1_XLXI_8_XLXI_1))
+ (portRef I (instanceRef XLXI_1_XLXI_9_XLXI_13))
+ (portRef I (instanceRef XLXI_1_XLXI_10_XLXI_8))
+ (portRef I (instanceRef XLXI_1_XLXI_12_XLXI_6))
+ (portRef I0 (instanceRef XLXI_1_XLXI_12_XLXI_1))
+ (portRef I (instanceRef XLXI_2_XLXI_2_XLXI_6))
+ (portRef I0 (instanceRef XLXI_2_XLXI_2_XLXI_3))
+ (portRef I (instanceRef XLXI_2_XLXI_1_XLXI_6))
+ (portRef I0 (instanceRef XLXI_2_XLXI_1_XLXI_3))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_21))
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_11))
+ (portRef I (instanceRef XLXI_2_XLXI_3_XLXI_6))
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_3))
+ (portRef I1 (instanceRef XLXI_3_XLXI_8_XLXI_12))
+ (portRef I (instanceRef XLXI_3_XLXI_9_XLXI_7))
+ (portRef I1 (instanceRef XLXI_3_XLXI_9_XLXI_2))
+ (portRef I (instanceRef XLXI_3_XLXI_10_XLXI_17))
+ (portRef I0 (instanceRef XLXI_3_XLXI_10_XLXI_11))
+ (portRef I0 (instanceRef XLXI_3_XLXI_12_XLXI_14))
+ (portRef I (instanceRef XLXI_2_XLXI_4_XLXI_10))
+ (portRef I0 (instanceRef XLXI_2_XLXI_4_XLXI_11))
+ (portRef I0 (instanceRef XLXI_2_XLXI_4_XLXI_15))
+ (portRef D0 (instanceRef XLXI_7))
+ (portRef O (instanceRef D_IBUF_renamed_3))
+ )
+ )
+ (net S0_IBUF
+ (joined
+ (portRef S0 (instanceRef XLXI_7))
+ (portRef S0 (instanceRef XLXI_6))
+ (portRef S0 (instanceRef XLXI_5))
+ (portRef S0 (instanceRef XLXI_4))
+ (portRef O (instanceRef S0_IBUF_renamed_4))
+ )
+ )
+ (net S1_IBUF
+ (joined
+ (portRef S1 (instanceRef XLXI_7))
+ (portRef S1 (instanceRef XLXI_6))
+ (portRef S1 (instanceRef XLXI_5))
+ (portRef S1 (instanceRef XLXI_4))
+ (portRef O (instanceRef S1_IBUF_renamed_5))
+ )
+ )
+ (net XLXN_36
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_7))
+ (portRef D2 (instanceRef XLXI_7))
+ )
+ )
+ (net XLXN_35
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_5))
+ (portRef D2 (instanceRef XLXI_6))
+ )
+ )
+ (net XLXN_34
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_9_XLXI_10))
+ (portRef D2 (instanceRef XLXI_5))
+ )
+ )
+ (net XLXN_33
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_10_XLXI_2))
+ (portRef D2 (instanceRef XLXI_4))
+ )
+ )
+ (net XLXN_37
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_4))
+ (portRef D3 (instanceRef XLXI_7))
+ )
+ )
+ (net XLXN_38
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_22))
+ (portRef D3 (instanceRef XLXI_6))
+ )
+ )
+ (net XLXN_39
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_4))
+ (portRef D3 (instanceRef XLXI_5))
+ )
+ )
+ (net XLXN_41
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_4))
+ (portRef D3 (instanceRef XLXI_4))
+ )
+ )
+ (net XLXN_42
+ (joined
+ (portRef O (instanceRef XLXI_3_XLXI_8_XLXI_12))
+ (portRef D1 (instanceRef XLXI_7))
+ )
+ )
+ (net XLXN_43
+ (joined
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_4))
+ (portRef D1 (instanceRef XLXI_6))
+ )
+ )
+ (net XLXN_44
+ (joined
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_8))
+ (portRef D1 (instanceRef XLXI_5))
+ )
+ )
+ (net XLXN_45
+ (joined
+ (portRef O (instanceRef XLXI_3_XLXI_12_XLXI_16))
+ (portRef D1 (instanceRef XLXI_4))
+ )
+ )
+ (net XLXN_22
+ (joined
+ (portRef O (instanceRef XLXI_4))
+ (portRef I1 (instanceRef XLXI_9_XLXI_35))
+ (portRef I (instanceRef XLXI_9_XLXI_37))
+ (portRef I1 (instanceRef XLXI_9_XLXI_42))
+ (portRef I1 (instanceRef XLXI_9_XLXI_46))
+ (portRef I2 (instanceRef XLXI_9_XLXI_55))
+ (portRef I1 (instanceRef XLXI_9_XLXI_64))
+ )
+ )
+ (net XLXN_23
+ (joined
+ (portRef O (instanceRef XLXI_5))
+ (portRef I0 (instanceRef XLXI_9_XLXI_42))
+ (portRef I0 (instanceRef XLXI_9_XLXI_46))
+ (portRef I0 (instanceRef XLXI_9_XLXI_30))
+ (portRef I (instanceRef XLXI_9_XLXI_38))
+ (portRef I2 (instanceRef XLXI_9_XLXI_51))
+ (portRef I1 (instanceRef XLXI_9_XLXI_54))
+ (portRef I1 (instanceRef XLXI_9_XLXI_56))
+ (portRef I1 (instanceRef XLXI_9_XLXI_61))
+ )
+ )
+ (net XLXN_24
+ (joined
+ (portRef O (instanceRef XLXI_6))
+ (portRef I0 (instanceRef XLXI_9_XLXI_55))
+ (portRef I2 (instanceRef XLXI_9_XLXI_31))
+ (portRef I0 (instanceRef XLXI_9_XLXI_33))
+ (portRef I (instanceRef XLXI_9_XLXI_39))
+ (portRef I2 (instanceRef XLXI_9_XLXI_45))
+ (portRef I1 (instanceRef XLXI_9_XLXI_48))
+ (portRef I0 (instanceRef XLXI_9_XLXI_50))
+ (portRef I1 (instanceRef XLXI_9_XLXI_62))
+ (portRef I1 (instanceRef XLXI_9_XLXI_63))
+ )
+ )
+ (net XLXN_25
+ (joined
+ (portRef O (instanceRef XLXI_7))
+ (portRef I2 (instanceRef XLXI_9_XLXI_30))
+ (portRef I1 (instanceRef XLXI_9_XLXI_51))
+ (portRef I1 (instanceRef XLXI_9_XLXI_31))
+ (portRef I1 (instanceRef XLXI_9_XLXI_45))
+ (portRef I (instanceRef XLXI_9_XLXI_40))
+ (portRef I0 (instanceRef XLXI_9_XLXI_47))
+ )
+ )
+ (net AN0_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_5))
+ (portRef I (instanceRef AN0_OBUF_renamed_6))
+ )
+ )
+ (net AN1_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_6))
+ (portRef I (instanceRef AN1_OBUF_renamed_7))
+ )
+ )
+ (net AN2_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_7))
+ (portRef I (instanceRef AN2_OBUF_renamed_8))
+ )
+ )
+ (net AN3_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_8))
+ (portRef I (instanceRef AN3_OBUF_renamed_9))
+ )
+ )
+ (net a_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_65))
+ (portRef I (instanceRef a_out_OBUF_renamed_10))
+ )
+ )
+ (net b_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_66))
+ (portRef I (instanceRef b_out_OBUF_renamed_11))
+ )
+ )
+ (net c_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_67))
+ (portRef I (instanceRef c_out_OBUF_renamed_12))
+ )
+ )
+ (net d_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_69))
+ (portRef I (instanceRef d_out_OBUF_renamed_13))
+ )
+ )
+ (net e_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_68))
+ (portRef I (instanceRef e_out_OBUF_renamed_14))
+ )
+ )
+ (net f_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_70))
+ (portRef I (instanceRef f_out_OBUF_renamed_15))
+ )
+ )
+ (net g_out_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_71))
+ (portRef I (instanceRef g_out_OBUF_renamed_16))
+ )
+ )
+ (net sign_OBUF
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_73))
+ (portRef I (instanceRef sign_OBUF_renamed_17))
+ )
+ )
+ (net XLXN_12
+ (joined
+ (portRef P (instanceRef XLXI_8))
+ (portRef E (instanceRef XLXI_7))
+ (portRef E (instanceRef XLXI_6))
+ (portRef E (instanceRef XLXI_5))
+ (portRef E (instanceRef XLXI_4))
+ (portRef I (instanceRef XLXI_9_XLXI_5))
+ (portRef I (instanceRef XLXI_9_XLXI_6))
+ (portRef I (instanceRef XLXI_9_XLXI_7))
+ )
+ )
+ (net N0
+ (joined
+ (portRef G (instanceRef XST_GND))
+ (portRef I (instanceRef XLXI_9_XLXI_8))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_2 "XLXI_1/XLXI_8/XLXN_2")
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_2))
+ (portRef I0 (instanceRef XLXI_1_XLXI_8_XLXI_4))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_13 "XLXI_1/XLXI_8/XLXN_13")
+ (joined
+ (portRef I2 (instanceRef XLXI_1_XLXI_8_XLXI_2))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_7))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_8 "XLXI_1/XLXI_8/XLXN_8")
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_6))
+ (portRef I2 (instanceRef XLXI_1_XLXI_8_XLXI_1))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_4 "XLXI_1/XLXI_8/XLXN_4")
+ (joined
+ (portRef I1 (instanceRef XLXI_1_XLXI_8_XLXI_5))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_4))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_16 "XLXI_1/XLXI_8/XLXN_16")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_8_XLXI_2))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_8))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_1 "XLXI_1/XLXI_8/XLXN_1")
+ (joined
+ (portRef I1 (instanceRef XLXI_1_XLXI_8_XLXI_2))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_3))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_17 "XLXI_1/XLXI_8/XLXN_17")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_8_XLXI_3))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_9))
+ )
+ )
+ (net (rename XLXI_1_XLXI_8_XLXN_5 "XLXI_1/XLXI_8/XLXN_5")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_8_XLXI_5))
+ (portRef O (instanceRef XLXI_1_XLXI_8_XLXI_1))
+ )
+ )
+ (net (rename XLXI_1_XLXI_9_XLXN_9 "XLXI_1/XLXI_9/XLXN_9")
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_9_XLXI_12))
+ (portRef I0 (instanceRef XLXI_1_XLXI_9_XLXI_10))
+ )
+ )
+ (net (rename XLXI_1_XLXI_9_XLXN_15 "XLXI_1/XLXI_9/XLXN_15")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_9_XLXI_12))
+ (portRef O (instanceRef XLXI_1_XLXI_9_XLXI_16))
+ )
+ )
+ (net (rename XLXI_1_XLXI_9_XLXN_14 "XLXI_1/XLXI_9/XLXN_14")
+ (joined
+ (portRef I1 (instanceRef XLXI_1_XLXI_9_XLXI_12))
+ (portRef O (instanceRef XLXI_1_XLXI_9_XLXI_15))
+ )
+ )
+ (net (rename XLXI_1_XLXI_9_XLXN_13 "XLXI_1/XLXI_9/XLXN_13")
+ (joined
+ (portRef I2 (instanceRef XLXI_1_XLXI_9_XLXI_12))
+ (portRef O (instanceRef XLXI_1_XLXI_9_XLXI_13))
+ )
+ )
+ (net (rename XLXI_1_XLXI_10_XLXN_2 "XLXI_1/XLXI_10/XLXN_2")
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_10_XLXI_4))
+ (portRef I0 (instanceRef XLXI_1_XLXI_10_XLXI_2))
+ )
+ )
+ (net (rename XLXI_1_XLXI_10_XLXN_13 "XLXI_1/XLXI_10/XLXN_13")
+ (joined
+ (portRef I2 (instanceRef XLXI_1_XLXI_10_XLXI_4))
+ (portRef O (instanceRef XLXI_1_XLXI_10_XLXI_6))
+ )
+ )
+ (net (rename XLXI_1_XLXI_10_XLXN_14 "XLXI_1/XLXI_10/XLXN_14")
+ (joined
+ (portRef I1 (instanceRef XLXI_1_XLXI_10_XLXI_4))
+ (portRef O (instanceRef XLXI_1_XLXI_10_XLXI_7))
+ )
+ )
+ (net (rename XLXI_1_XLXI_10_XLXN_15 "XLXI_1/XLXI_10/XLXN_15")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_10_XLXI_4))
+ (portRef O (instanceRef XLXI_1_XLXI_10_XLXI_8))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_12 "XLXI_1/XLXI_12/XLXN_12")
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_9))
+ (portRef I1 (instanceRef XLXI_1_XLXI_12_XLXI_2))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_2 "XLXI_1/XLXI_12/XLXN_2")
+ (joined
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_5))
+ (portRef I2 (instanceRef XLXI_1_XLXI_12_XLXI_1))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_6 "XLXI_1/XLXI_12/XLXN_6")
+ (joined
+ (portRef I0 (instanceRef XLXI_1_XLXI_12_XLXI_7))
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_3))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_4 "XLXI_1/XLXI_12/XLXN_4")
+ (joined
+ (portRef I2 (instanceRef XLXI_1_XLXI_12_XLXI_7))
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_2))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_3 "XLXI_1/XLXI_12/XLXN_3")
+ (joined
+ (portRef I1 (instanceRef XLXI_1_XLXI_12_XLXI_3))
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_6))
+ )
+ )
+ (net (rename XLXI_1_XLXI_12_XLXN_5 "XLXI_1/XLXI_12/XLXN_5")
+ (joined
+ (portRef I1 (instanceRef XLXI_1_XLXI_12_XLXI_7))
+ (portRef O (instanceRef XLXI_1_XLXI_12_XLXI_1))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_2 "XLXI_2/XLXI_2/XLXN_2")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_2_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_2))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_7 "XLXI_2/XLXI_2/XLXN_7")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_2_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_5 "XLXI_2/XLXI_2/XLXN_5")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_2_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_5))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_1 "XLXI_2/XLXI_2/XLXN_1")
+ (joined
+ (portRef I2 (instanceRef XLXI_2_XLXI_2_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_1))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_6 "XLXI_2/XLXI_2/XLXN_6")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_2_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_6))
+ )
+ )
+ (net (rename XLXI_2_XLXI_2_XLXN_3 "XLXI_2/XLXI_2/XLXN_3")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_2_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_2_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_2 "XLXI_2/XLXI_1/XLXN_2")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_1_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_2))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_7 "XLXI_2/XLXI_1/XLXN_7")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_1_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_5 "XLXI_2/XLXI_1/XLXN_5")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_1_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_5))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_1 "XLXI_2/XLXI_1/XLXN_1")
+ (joined
+ (portRef I2 (instanceRef XLXI_2_XLXI_1_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_1))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_6 "XLXI_2/XLXI_1/XLXN_6")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_1_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_6))
+ )
+ )
+ (net (rename XLXI_2_XLXI_1_XLXN_3 "XLXI_2/XLXI_1/XLXN_3")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_1_XLXI_4))
+ (portRef O (instanceRef XLXI_2_XLXI_1_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_51 "XLXI_2/XLXI_3/XLXN_51")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_20))
+ (portRef I3 (instanceRef XLXI_2_XLXI_3_XLXI_18))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_23 "XLXI_2/XLXI_3/XLXN_23")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_15))
+ (portRef I3 (instanceRef XLXI_2_XLXI_3_XLXI_11))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_2 "XLXI_2/XLXI_3/XLXN_2")
+ (joined
+ (portRef I3 (instanceRef XLXI_2_XLXI_3_XLXI_22))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_2))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_50 "XLXI_2/XLXI_3/XLXN_50")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_19))
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_18))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_7 "XLXI_2/XLXI_3/XLXN_7")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_7))
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_3))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_56 "XLXI_2/XLXI_3/XLXN_56")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_22))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_18))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_24 "XLXI_2/XLXI_3/XLXN_24")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_16))
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_11))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_5 "XLXI_2/XLXI_3/XLXN_5")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_3_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_5))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_55 "XLXI_2/XLXI_3/XLXN_55")
+ (joined
+ (portRef I4 (instanceRef XLXI_2_XLXI_3_XLXI_22))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_1))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_54 "XLXI_2/XLXI_3/XLXN_54")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_18))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_21))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_57 "XLXI_2/XLXI_3/XLXN_57")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_22))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_11))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_6 "XLXI_2/XLXI_3/XLXN_6")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_3_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_6))
+ )
+ )
+ (net (rename XLXI_2_XLXI_3_XLXN_3 "XLXI_2/XLXI_3/XLXN_3")
+ (joined
+ (portRef I2 (instanceRef XLXI_2_XLXI_3_XLXI_22))
+ (portRef O (instanceRef XLXI_2_XLXI_3_XLXI_3))
+ )
+ )
+ (net (rename XLXI_3_XLXI_8_XLXN_17 "XLXI_3/XLXI_8/XLXN_17")
+ (joined
+ (portRef O (instanceRef XLXI_3_XLXI_8_XLXI_8))
+ (portRef I0 (instanceRef XLXI_3_XLXI_8_XLXI_12))
+ )
+ )
+ (net (rename XLXI_3_XLXI_8_XLXN_15 "XLXI_3/XLXI_8/XLXN_15")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_8_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_8_XLXI_10))
+ )
+ )
+ (net (rename XLXI_3_XLXI_8_XLXN_14 "XLXI_3/XLXI_8/XLXN_14")
+ (joined
+ (portRef I2 (instanceRef XLXI_3_XLXI_8_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_8_XLXI_9))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_3 "XLXI_3/XLXI_9/XLXN_3")
+ (joined
+ (portRef I0 (instanceRef XLXI_3_XLXI_9_XLXI_4))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_3))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_4 "XLXI_3/XLXI_9/XLXN_4")
+ (joined
+ (portRef I0 (instanceRef XLXI_3_XLXI_9_XLXI_3))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_5))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_5 "XLXI_3/XLXI_9/XLXN_5")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_9_XLXI_3))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_6))
+ (portRef I0 (instanceRef XLXI_3_XLXI_9_XLXI_2))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_2 "XLXI_3/XLXI_9/XLXN_2")
+ (joined
+ (portRef I2 (instanceRef XLXI_3_XLXI_9_XLXI_4))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_1))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_6 "XLXI_3/XLXI_9/XLXN_6")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_9_XLXI_1))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_7))
+ )
+ )
+ (net (rename XLXI_3_XLXI_9_XLXN_1 "XLXI_3/XLXI_9/XLXN_1")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_9_XLXI_4))
+ (portRef O (instanceRef XLXI_3_XLXI_9_XLXI_2))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_35 "XLXI_3/XLXI_10/XLXN_35")
+ (joined
+ (portRef I3 (instanceRef XLXI_3_XLXI_10_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_9))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_37 "XLXI_3/XLXI_10/XLXN_37")
+ (joined
+ (portRef I0 (instanceRef XLXI_3_XLXI_10_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_15))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_44 "XLXI_3/XLXI_10/XLXN_44")
+ (joined
+ (portRef I0 (instanceRef XLXI_3_XLXI_10_XLXI_9))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_14))
+ (portRef I1 (instanceRef XLXI_3_XLXI_10_XLXI_10))
+ (portRef I1 (instanceRef XLXI_3_XLXI_10_XLXI_11))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_49 "XLXI_3/XLXI_10/XLXN_49")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_10_XLXI_15))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_16))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_41 "XLXI_3/XLXI_10/XLXN_41")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_10_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_10))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_47 "XLXI_3/XLXI_10/XLXN_47")
+ (joined
+ (portRef I0 (instanceRef XLXI_3_XLXI_10_XLXI_15))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_17))
+ )
+ )
+ (net (rename XLXI_3_XLXI_10_XLXN_40 "XLXI_3/XLXI_10/XLXN_40")
+ (joined
+ (portRef I2 (instanceRef XLXI_3_XLXI_10_XLXI_8))
+ (portRef O (instanceRef XLXI_3_XLXI_10_XLXI_11))
+ )
+ )
+ (net (rename XLXI_3_XLXI_12_XLXN_10 "XLXI_3/XLXI_12/XLXN_10")
+ (joined
+ (portRef I1 (instanceRef XLXI_3_XLXI_12_XLXI_16))
+ (portRef O (instanceRef XLXI_3_XLXI_12_XLXI_15))
+ )
+ )
+ (net (rename XLXI_3_XLXI_12_XLXN_8 "XLXI_3/XLXI_12/XLXN_8")
+ (joined
+ (portRef I0 (instanceRef XLXI_3_XLXI_12_XLXI_16))
+ (portRef O (instanceRef XLXI_3_XLXI_12_XLXI_14))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_1 "XLXI_2/XLXI_4/XLXN_1")
+ (joined
+ (portRef I0 (instanceRef XLXI_2_XLXI_4_XLXI_2))
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_14))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_14 "XLXI_2/XLXI_4/XLXN_14")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_16))
+ (portRef I0 (instanceRef XLXI_2_XLXI_4_XLXI_14))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_27 "XLXI_2/XLXI_4/XLXN_27")
+ (joined
+ (portRef I2 (instanceRef XLXI_2_XLXI_4_XLXI_11))
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_12))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_4 "XLXI_2/XLXI_4/XLXN_4")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_2))
+ (portRef I0 (instanceRef XLXI_2_XLXI_4_XLXI_4))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_28 "XLXI_2/XLXI_4/XLXN_28")
+ (joined
+ (portRef I1 (instanceRef XLXI_2_XLXI_4_XLXI_11))
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_13))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_15 "XLXI_2/XLXI_4/XLXN_15")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_9))
+ (portRef I1 (instanceRef XLXI_2_XLXI_4_XLXI_16))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_12 "XLXI_2/XLXI_4/XLXN_12")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_15))
+ (portRef I1 (instanceRef XLXI_2_XLXI_4_XLXI_14))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_3 "XLXI_2/XLXI_4/XLXN_3")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_11))
+ (portRef I1 (instanceRef XLXI_2_XLXI_4_XLXI_4))
+ )
+ )
+ (net (rename XLXI_2_XLXI_4_XLXN_16 "XLXI_2/XLXI_4/XLXN_16")
+ (joined
+ (portRef O (instanceRef XLXI_2_XLXI_4_XLXI_10))
+ (portRef I0 (instanceRef XLXI_2_XLXI_4_XLXI_16))
+ )
+ )
+ (net (rename XLXI_9_XLXN_158 "XLXI_9/XLXN_158")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_53))
+ (portRef I (instanceRef XLXI_9_XLXI_68))
+ )
+ )
+ (net (rename XLXI_9_XLXN_131 "XLXI_9/XLXN_131")
+ (joined
+ (portRef I0 (instanceRef XLXI_9_XLXI_58))
+ (portRef O (instanceRef XLXI_9_XLXI_57))
+ )
+ )
+ (net (rename XLXI_9_XLXN_92 "XLXI_9/XLXN_92")
+ (joined
+ (portRef I2 (instanceRef XLXI_9_XLXI_41))
+ (portRef O (instanceRef XLXI_9_XLXI_43))
+ )
+ )
+ (net (rename XLXI_9_XLXN_156 "XLXI_9/XLXN_156")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_52))
+ (portRef I (instanceRef XLXI_9_XLXI_69))
+ )
+ )
+ (net (rename XLXI_9_XLXN_126 "XLXI_9/XLXN_126")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_49))
+ (portRef I2 (instanceRef XLXI_9_XLXI_52))
+ (portRef I0 (instanceRef XLXI_9_XLXI_53))
+ )
+ )
+ (net (rename XLXI_9_XLXN_93 "XLXI_9/XLXN_93")
+ (joined
+ (portRef I1 (instanceRef XLXI_9_XLXI_41))
+ (portRef O (instanceRef XLXI_9_XLXI_44))
+ )
+ )
+ (net (rename XLXI_9_XLXN_63 "XLXI_9/XLXN_63")
+ (joined
+ (portRef I2 (instanceRef XLXI_9_XLXI_34))
+ (portRef O (instanceRef XLXI_9_XLXI_32))
+ )
+ )
+ (net (rename XLXI_9_XLXN_162 "XLXI_9/XLXN_162")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_60))
+ (portRef I (instanceRef XLXI_9_XLXI_71))
+ )
+ )
+ (net (rename XLXI_9_XLXN_160 "XLXI_9/XLXN_160")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_58))
+ (portRef I (instanceRef XLXI_9_XLXI_70))
+ )
+ )
+ (net (rename XLXI_9_XLXN_151 "XLXI_9/XLXN_151")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_41))
+ (portRef I (instanceRef XLXI_9_XLXI_66))
+ )
+ )
+ (net (rename XLXI_9_XLXN_165 "XLXI_9/XLXN_165")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_72))
+ (portRef I (instanceRef XLXI_9_XLXI_73))
+ )
+ )
+ (net (rename XLXI_9_XLXN_149 "XLXI_9/XLXN_149")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_34))
+ (portRef I (instanceRef XLXI_9_XLXI_65))
+ )
+ )
+ (net (rename XLXI_9_XLXN_155 "XLXI_9/XLXN_155")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_47))
+ (portRef I (instanceRef XLXI_9_XLXI_67))
+ )
+ )
+ (net (rename XLXI_9_D_BAR "XLXI_9/D_BAR")
+ (joined
+ (portRef I0 (instanceRef XLXI_9_XLXI_64))
+ (portRef I0 (instanceRef XLXI_9_XLXI_56))
+ (portRef I1 (instanceRef XLXI_9_XLXI_33))
+ (portRef I0 (instanceRef XLXI_9_XLXI_48))
+ (portRef I0 (instanceRef XLXI_9_XLXI_62))
+ (portRef O (instanceRef XLXI_9_XLXI_40))
+ (portRef I1 (instanceRef XLXI_9_XLXI_32))
+ (portRef I0 (instanceRef XLXI_9_XLXI_49))
+ (portRef I0 (instanceRef XLXI_9_XLXI_43))
+ (portRef I0 (instanceRef XLXI_9_XLXI_57))
+ )
+ )
+ (net (rename XLXI_9_XLXN_147 "XLXI_9/XLXN_147")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_63))
+ (portRef I1 (instanceRef XLXI_9_XLXI_60))
+ )
+ )
+ (net (rename XLXI_9_XLXN_146 "XLXI_9/XLXN_146")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_62))
+ (portRef I2 (instanceRef XLXI_9_XLXI_60))
+ )
+ )
+ (net (rename XLXI_9_XLXN_113 "XLXI_9/XLXN_113")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_50))
+ (portRef I1 (instanceRef XLXI_9_XLXI_52))
+ )
+ )
+ (net (rename XLXI_9_XLXN_125 "XLXI_9/XLXN_125")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_48))
+ (portRef I3 (instanceRef XLXI_9_XLXI_52))
+ (portRef I1 (instanceRef XLXI_9_XLXI_53))
+ )
+ )
+ (net (rename XLXI_9_XLXN_94 "XLXI_9/XLXN_94")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_45))
+ (portRef I0 (instanceRef XLXI_9_XLXI_41))
+ )
+ )
+ (net (rename XLXI_9_C_BAR "XLXI_9/C_BAR")
+ (joined
+ (portRef I1 (instanceRef XLXI_9_XLXI_30))
+ (portRef I0 (instanceRef XLXI_9_XLXI_51))
+ (portRef I0 (instanceRef XLXI_9_XLXI_54))
+ (portRef I0 (instanceRef XLXI_9_XLXI_61))
+ (portRef O (instanceRef XLXI_9_XLXI_39))
+ (portRef I1 (instanceRef XLXI_9_XLXI_47))
+ (portRef I1 (instanceRef XLXI_9_XLXI_44))
+ (portRef I1 (instanceRef XLXI_9_XLXI_43))
+ (portRef I1 (instanceRef XLXI_9_XLXI_57))
+ )
+ )
+ (net (rename XLXI_9_XLXN_64 "XLXI_9/XLXN_64")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_33))
+ (portRef I1 (instanceRef XLXI_9_XLXI_34))
+ )
+ )
+ (net (rename XLXI_9_XLXN_62 "XLXI_9/XLXN_62")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_31))
+ (portRef I3 (instanceRef XLXI_9_XLXI_34))
+ )
+ )
+ (net (rename XLXI_9_XLXN_145 "XLXI_9/XLXN_145")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_61))
+ (portRef I3 (instanceRef XLXI_9_XLXI_60))
+ )
+ )
+ (net (rename XLXI_9_XLXN_130 "XLXI_9/XLXN_130")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_56))
+ (portRef I1 (instanceRef XLXI_9_XLXI_58))
+ )
+ )
+ (net (rename XLXI_9_XLXN_128 "XLXI_9/XLXN_128")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_54))
+ (portRef I3 (instanceRef XLXI_9_XLXI_58))
+ )
+ )
+ (net (rename XLXI_9_XLXN_114 "XLXI_9/XLXN_114")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_51))
+ (portRef I0 (instanceRef XLXI_9_XLXI_52))
+ )
+ )
+ (net (rename XLXI_9_B_BAR "XLXI_9/B_BAR")
+ (joined
+ (portRef I0 (instanceRef XLXI_9_XLXI_35))
+ (portRef I1 (instanceRef XLXI_9_XLXI_55))
+ (portRef O (instanceRef XLXI_9_XLXI_38))
+ (portRef I1 (instanceRef XLXI_9_XLXI_50))
+ (portRef I0 (instanceRef XLXI_9_XLXI_63))
+ (portRef I0 (instanceRef XLXI_9_XLXI_32))
+ (portRef I0 (instanceRef XLXI_9_XLXI_44))
+ (portRef I1 (instanceRef XLXI_9_XLXI_49))
+ )
+ )
+ (net (rename XLXI_9_XLXN_61 "XLXI_9/XLXN_61")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_30))
+ (portRef I4 (instanceRef XLXI_9_XLXI_34))
+ )
+ )
+ (net (rename XLXI_9_XLXN_148 "XLXI_9/XLXN_148")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_64))
+ (portRef I0 (instanceRef XLXI_9_XLXI_60))
+ )
+ )
+ (net (rename XLXI_9_XLXN_129 "XLXI_9/XLXN_129")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_55))
+ (portRef I2 (instanceRef XLXI_9_XLXI_58))
+ )
+ )
+ (net (rename XLXI_9_XLXN_105 "XLXI_9/XLXN_105")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_46))
+ (portRef I2 (instanceRef XLXI_9_XLXI_47))
+ )
+ )
+ (net (rename XLXI_9_XLXN_91 "XLXI_9/XLXN_91")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_42))
+ (portRef I3 (instanceRef XLXI_9_XLXI_41))
+ )
+ )
+ (net (rename XLXI_9_A_BAR "XLXI_9/A_BAR")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_37))
+ (portRef I2 (instanceRef XLXI_9_XLXI_54))
+ (portRef I2 (instanceRef XLXI_9_XLXI_56))
+ (portRef I0 (instanceRef XLXI_9_XLXI_31))
+ (portRef I0 (instanceRef XLXI_9_XLXI_45))
+ (portRef I (instanceRef XLXI_9_XLXI_72))
+ )
+ )
+ (net (rename XLXI_9_XLXN_65 "XLXI_9/XLXN_65")
+ (joined
+ (portRef O (instanceRef XLXI_9_XLXI_35))
+ (portRef I0 (instanceRef XLXI_9_XLXI_34))
+ )
+ )
+ (net A
+ (joined
+ (portRef A)
+ (portRef I (instanceRef A_IBUF_renamed_0))
+ )
+ )
+ (net B
+ (joined
+ (portRef B)
+ (portRef I (instanceRef B_IBUF_renamed_1))
+ )
+ )
+ (net C
+ (joined
+ (portRef C)
+ (portRef I (instanceRef C_IBUF_renamed_2))
+ )
+ )
+ (net D
+ (joined
+ (portRef D)
+ (portRef I (instanceRef D_IBUF_renamed_3))
+ )
+ )
+ (net S0
+ (joined
+ (portRef S0)
+ (portRef I (instanceRef S0_IBUF_renamed_4))
+ )
+ )
+ (net S1
+ (joined
+ (portRef S1)
+ (portRef I (instanceRef S1_IBUF_renamed_5))
+ )
+ )
+ (net AN0
+ (joined
+ (portRef AN0)
+ (portRef O (instanceRef AN0_OBUF_renamed_6))
+ )
+ )
+ (net AN1
+ (joined
+ (portRef AN1)
+ (portRef O (instanceRef AN1_OBUF_renamed_7))
+ )
+ )
+ (net AN2
+ (joined
+ (portRef AN2)
+ (portRef O (instanceRef AN2_OBUF_renamed_8))
+ )
+ )
+ (net AN3
+ (joined
+ (portRef AN3)
+ (portRef O (instanceRef AN3_OBUF_renamed_9))
+ )
+ )
+ (net a_out
+ (joined
+ (portRef a_out)
+ (portRef O (instanceRef a_out_OBUF_renamed_10))
+ )
+ )
+ (net b_out
+ (joined
+ (portRef b_out)
+ (portRef O (instanceRef b_out_OBUF_renamed_11))
+ )
+ )
+ (net c_out
+ (joined
+ (portRef c_out)
+ (portRef O (instanceRef c_out_OBUF_renamed_12))
+ )
+ )
+ (net d_out
+ (joined
+ (portRef d_out)
+ (portRef O (instanceRef d_out_OBUF_renamed_13))
+ )
+ )
+ (net e_out
+ (joined
+ (portRef e_out)
+ (portRef O (instanceRef e_out_OBUF_renamed_14))
+ )
+ )
+ (net f_out
+ (joined
+ (portRef f_out)
+ (portRef O (instanceRef f_out_OBUF_renamed_15))
+ )
+ )
+ (net g_out
+ (joined
+ (portRef g_out)
+ (portRef O (instanceRef g_out_OBUF_renamed_16))
+ )
+ )
+ (net sign
+ (joined
+ (portRef sign)
+ (portRef O (instanceRef sign_OBUF_renamed_17))
+ )
+ )
+ )
+ )
+ )
+ )
+
+ (design ALU
+ (cellRef ALU
+ (libraryRef ALU_lib)
+ )
+ (property PART (string "xc6slx16-3-csg324") (owner "Xilinx"))
+ )
+)
+
diff --git a/planAhead_run_2/lab1.data/constrs_1/fileset.xml b/planAhead_run_2/lab1.data/constrs_1/fileset.xml
new file mode 100755
index 0000000..80984cf
--- /dev/null
+++ b/planAhead_run_2/lab1.data/constrs_1/fileset.xml
@@ -0,0 +1,25 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1"
+ Minor="19">
+ <FileSet Name="constrs_1"
+ Type="Constrs"
+ RelSrcDir="$PSRCDIR/constrs_1">
+ <Filter Type="Constrs"/>
+ <File Path="$PPRDIR/../ALU.ucf">
+ <FileInfo>
+ <Attr Name="UsedInSynthesis"
+ Val="1"/>
+ <Attr Name="UsedInImplementation"
+ Val="1"/>
+ <Attr Name="UsedInSimulation"
+ Val="1"/>
+ </FileInfo>
+ </File>
+ <Config>
+ <Option Name="TargetConstrsFile"
+ Val="$PPRDIR/../ALU.ucf"/>
+ <Option Name="ConstrsType"
+ Val="UCF"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_2/lab1.data/runs/impl_1.psg b/planAhead_run_2/lab1.data/runs/impl_1.psg
new file mode 100755
index 0000000..43196a2
--- /dev/null
+++ b/planAhead_run_2/lab1.data/runs/impl_1.psg
@@ -0,0 +1,18 @@
+<?xml version="1.0"?>
+<Strategy Version="1" Minor="2">
+ <StratHandle Name="ISE Defaults" Flow="ISE13">
+ <Desc>ISE Defaults, including packing registers in IOs off</Desc>
+ </StratHandle>
+ <Step Id="ngdbuild">
+ </Step>
+ <Step Id="map">
+ <Option Id="FFPackEnum">3</Option>
+ </Step>
+ <Step Id="par">
+ </Step>
+ <Step Id="trce">
+ </Step>
+ <Step Id="xdl">
+ </Step>
+</Strategy>
+
diff --git a/planAhead_run_2/lab1.data/runs/runs.xml b/planAhead_run_2/lab1.data/runs/runs.xml
new file mode 100755
index 0000000..2651a01
--- /dev/null
+++ b/planAhead_run_2/lab1.data/runs/runs.xml
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="8">
+ <Run Id="impl_1" Type="Ft2:EntireDesign" SrcSet="sources_1" Part="xc6slx16csg324-3" ConstrsSet="constrs_1" State="current"/>
+</Runs>
+
diff --git a/planAhead_run_2/lab1.data/sources_1/fileset.xml b/planAhead_run_2/lab1.data/sources_1/fileset.xml
new file mode 100755
index 0000000..ff57e87
--- /dev/null
+++ b/planAhead_run_2/lab1.data/sources_1/fileset.xml
@@ -0,0 +1,29 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1"
+ Minor="19">
+ <FileSet Name="sources_1"
+ Type="DesignSrcs"
+ RelSrcDir="$PSRCDIR/sources_1">
+ <Filter Type="EDIFSrcs"/>
+ <File Path="$PPRDIR/../ALU.ngc">
+ <FileInfo>
+ <Attr Name="UsedInSynthesis"
+ Val="1"/>
+ <Attr Name="UsedInImplementation"
+ Val="1"/>
+ <Attr Name="UsedInSimulation"
+ Val="1"/>
+ </FileInfo>
+ </File>
+ <Config>
+ <Option Name="DesignMode"
+ Val="GateLvl"/>
+ <Option Name="GateLvlMode"
+ Val="EDIF"/>
+ <Option Name="TopModule"
+ Val="ALU"/>
+ <Option Name="TopFile"
+ Val="$PPRDIR/../ALU.ngc"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_2/lab1.data/wt/webtalk_pa.xml b/planAhead_run_2/lab1.data/wt/webtalk_pa.xml
new file mode 100755
index 0000000..2bed019
--- /dev/null
+++ b/planAhead_run_2/lab1.data/wt/webtalk_pa.xml
@@ -0,0 +1,26 @@
+<?xml version="1.0" encoding="UTF-8" ?>
+<document>
+<!--The data in this file is primarily intended for consumption by Xilinx tools.
+The structure and the elements are likely to change over the next few releases.
+This means code written to parse this file will need to be revisited each subsequent release.-->
+<application name="pa" timeStamp="Thu Feb 16 20:00:26 2012">
+<section name="Project Information" visible="false">
+<property name="ProjectID" value="98952ffb65684da08e4e1169ac26bbac" type="ProjectID"/>
+<property name="ProjectIteration" value="1" type="ProjectIteration"/>
+</section>
+<section name="PlanAhead Usage" visible="true">
+<item name="Project Data">
+<property name="SrcSetCount" value="1" type="SrcSetCount"/>
+<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
+<property name="DesignMode" value="GateLvl" type="DesignMode"/>
+<property name="ImplStrategy" value="ISE Defaults" type="ImplStrategy"/>
+</item>
+<item name="Other">
+<property name="GuiMode" value="0" type="GuiMode"/>
+<property name="BatchMode" value="0" type="BatchMode"/>
+<property name="TclMode" value="0" type="TclMode"/>
+<property name="ISEMode" value="14" type="ISEMode"/>
+</item>
+</section>
+</application>
+</document>
diff --git a/planAhead_run_2/lab1.ppr b/planAhead_run_2/lab1.ppr
new file mode 100755
index 0000000..6081e20
--- /dev/null
+++ b/planAhead_run_2/lab1.ppr
@@ -0,0 +1,14 @@
+<?xml version="1.0"?>
+<Project Version="4" Minor="27">
+ <FileSet Dir="sources_1" File="fileset.xml"/>
+ <FileSet Dir="constrs_1" File="fileset.xml"/>
+ <RunSet Dir="runs" File="runs.xml"/>
+ <DefaultLaunch Dir="$PRUNDIR"/>
+ <DefaultPromote Dir="$PROMOTEDIR"/>
+ <Config>
+ <Option Name="Part" Val="xc6slx16csg324-3"/>
+ <Option Name="TargetLanguage" Val="Verilog"/>
+ <Option Name="SourceMgmtMode" Val="All"/>
+ </Config>
+</Project>
+
diff --git a/planAhead_run_2/planAhead.jou b/planAhead_run_2/planAhead.jou
new file mode 100755
index 0000000..2ada6d2
--- /dev/null
+++ b/planAhead_run_2/planAhead.jou
@@ -0,0 +1,18 @@
+#-----------------------------------------------------------
+# PlanAhead v13.3 (64-bit)
+# Build 147507 by hdbuild on Tue Oct 4 19:13:50 MDT 2011
+# Start of session at: Thu Feb 16 18:59:46 2012
+# Process ID: 3164
+# Log file: X:/My Documents/ec311/ec311-lab1/planAhead_run_2/planAhead.log
+# Journal file: X:/My Documents/ec311/ec311-lab1/planAhead_run_2/planAhead.jou
+#-----------------------------------------------------------
+start_gui
+source {X:/My Documents/ec311/ec311-lab1/pa.fromNetlist.tcl}
+refresh_design
+refresh_design
+refresh_design
+refresh_design
+refresh_design
+refresh_design
+exit
+stop_gui
diff --git a/planAhead_run_2/planAhead.log b/planAhead_run_2/planAhead.log
new file mode 100755
index 0000000..4c49347
--- /dev/null
+++ b/planAhead_run_2/planAhead.log
@@ -0,0 +1,198 @@
+#-----------------------------------------------------------
+# PlanAhead v13.3 (64-bit)
+# Build 147507 by hdbuild on Tue Oct 4 19:13:50 MDT 2011
+# Start of session at: Thu Feb 16 18:59:46 2012
+# Process ID: 3164
+# Log file: X:/My Documents/ec311/ec311-lab1/planAhead_run_2/planAhead.log
+# Journal file: X:/My Documents/ec311/ec311-lab1/planAhead_run_2/planAhead.jou
+#-----------------------------------------------------------
+INFO: [Common-78] Attempting to get a license: PlanAhead
+INFO: [Common-82] Got a license: PlanAhead
+INFO: [Device-25] Loading parts and site information from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\arch.xmlParsing RTL primitives file [C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\rtl\prims\rtl_prims.xml]
+Finished parsing RTL primitives file [C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\rtl\prims\rtl_prims.xml]
+start_gui
+source {X:/My Documents/ec311/ec311-lab1/pa.fromNetlist.tcl}
+# create_project -name lab1 -dir "X:/My Documents/ec311/ec311-lab1/planAhead_run_2" -part xc6slx16csg324-3
+Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\verilog.xml].
+Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\verilog.xml].
+Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\vhdl.xml].
+Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\vhdl.xml].
+Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\ucf.xml].
+Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\ucf.xml].
+# set_property design_mode GateLvl [get_property srcset [current_run -impl]]
+# set_property edif_top_file "X:/My Documents/ec311/ec311-lab1/ALU.ngc" [ get_property srcset [ current_run ] ]
+# add_files -norecurse { {X:/My Documents/ec311/ec311-lab1} }
+# set_param project.pinAheadLayout yes
+# set_property target_constrs_file "ALU.ucf" [current_fileset -constrset]
+Adding file 'X:\My Documents\ec311\ec311-lab1\ALU.ucf' to fileset 'constrs_1'
+# add_files [list {ALU.ucf}] -fileset [get_property constrset [current_run]]
+# open_netlist_design
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+Design is defaulting to part: xc6slx16csg324-3
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91624 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading device configuration modes from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/ConfigModes.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+INFO: [PlanAhead-566] Unisim Transformation Summary:
+No Unisim elements were transformed.open_netlist_design: Time (s): 13.385w. Memory (MB): 744.074p 196.641g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91432 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 9.017w. Memory (MB): 778.047p 27.094g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91752 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 7.317w. Memory (MB): 783.742p 5.559g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91560 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 7.364w. Memory (MB): 786.453p 2.711g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91880 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 7.628w. Memory (MB): 805.910p 19.457g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91368 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 7.425w. Memory (MB): 807.293p 0.000g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91304 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 7.566w. Memory (MB): 807.293p 0.000g
+exit
+stop_gui
+INFO: [PlanAhead-261] Exiting PlanAhead...
+INFO: [Common-83] Releasing license: PlanAhead
diff --git a/planAhead_run_2/planAhead_run.log b/planAhead_run_2/planAhead_run.log
new file mode 100755
index 0000000..3809618
--- /dev/null
+++ b/planAhead_run_2/planAhead_run.log
@@ -0,0 +1,196 @@
+
+****** PlanAhead v13.3 (64-bit)
+ **** Build 147507 by hdbuild on Tue Oct 4 19:13:50 MDT 2011
+ ** Copyright 1986-1999, 2001-2011 Xilinx, Inc. All Rights Reserved.
+
+INFO: [Common-78] Attempting to get a license: PlanAhead
+INFO: [Common-82] Got a license: PlanAhead
+INFO: [Device-25] Loading parts and site information from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\arch.xmlParsing RTL primitives file [C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\rtl\prims\rtl_prims.xml]
+Finished parsing RTL primitives file [C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\rtl\prims\rtl_prims.xml]
+start_gui
+starting gui ...
+source {X:/My Documents/ec311/ec311-lab1/pa.fromNetlist.tcl}
+# create_project -name lab1 -dir "X:/My Documents/ec311/ec311-lab1/planAhead_run_2" -part xc6slx16csg324-3
+Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\verilog.xml].
+Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\verilog.xml].
+Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\vhdl.xml].
+Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\vhdl.xml].
+Parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\ucf.xml].
+Finished parsing template File [C:/Xilinx/13.3/ISE_DS/ISE\data\projnav\templates\ucf.xml].
+# set_property design_mode GateLvl [get_property srcset [current_run -impl]]
+# set_property edif_top_file "X:/My Documents/ec311/ec311-lab1/ALU.ngc" [ get_property srcset [ current_run ] ]
+# add_files -norecurse { {X:/My Documents/ec311/ec311-lab1} }
+# set_param project.pinAheadLayout yes
+# set_property target_constrs_file "ALU.ucf" [current_fileset -constrset]
+Adding file 'X:\My Documents\ec311\ec311-lab1\ALU.ucf' to fileset 'constrs_1'
+# add_files [list {ALU.ucf}] -fileset [get_property constrset [current_run]]
+# open_netlist_design
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+Design is defaulting to part: xc6slx16csg324-3
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91624 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading device configuration modes from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/ConfigModes.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+INFO: [PlanAhead-566] Unisim Transformation Summary:
+No Unisim elements were transformed.open_netlist_design: Time (s): 13.385w. Memory (MB): 744.074p 196.641g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91432 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 9.017w. Memory (MB): 778.047p 27.094g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91752 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 7.317w. Memory (MB): 783.742p 5.559g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91560 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 7.364w. Memory (MB): 786.453p 2.711g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91880 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 7.628w. Memory (MB): 805.910p 19.457g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91368 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 7.425w. Memory (MB): 807.293p 0.000g
+refresh_design
+Release 13.3 - ngc2edif O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+Reading design ALU.ngc ...
+WARNING:NetListWriters:298 - No output is written to ALU.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file ALU.edif ...
+ngc2edif: Total memory usage is 91304 kilobytes
+
+Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Finished Parsing EDIF File [.\planAhead_run_2\lab1.data\cache\ALU_ngc_c04f956c.edif]
+Loading clock regions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockRegion.xml
+Loading clock buffers from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/ClockBuffers.xml
+Loading package pin functions from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts\xilinx\spartan6/PinFunctions.xml...
+Loading package from C:/Xilinx/13.3/ISE_DS/PlanAhead\parts/xilinx/spartan6/spartan6lx/xc6slx16/csg324/Package.xml
+Loading io standards from C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/IOStandards.xml
+Loading list of drcs for the architecture : C:/Xilinx/13.3/ISE_DS/PlanAhead\./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+Finished Parsing UCF File [X:\My Documents\ec311\ec311-lab1\ALU.ucf]
+refresh_design: Time (s): 7.566w. Memory (MB): 807.293p 0.000g
+exit
+stop_gui
+INFO: [PlanAhead-261] Exiting PlanAhead...
+INFO: [Common-83] Releasing license: PlanAhead
diff --git a/sch2HdlBatchFile b/sch2HdlBatchFile
index e69de29..0c0f422 100755
--- a/sch2HdlBatchFile
+++ b/sch2HdlBatchFile
@@ -0,0 +1,3 @@
+sch2hdl,-intstyle,ise,-family,spartan6,-verilog,X:/My Documents/ec311/ec311-lab1/Modulo_0.vf,-w,X:/My Documents/ec311/ec311-lab1/Modulo_0.sch
+sch2hdl,-intstyle,ise,-family,spartan6,-verilog,X:/My Documents/ec311/ec311-lab1/Modulo.vf,-w,X:/My Documents/ec311/ec311-lab1/Modulo.sch
+sch2hdl,-intstyle,ise,-family,spartan6,-verilog,X:/My Documents/ec311/ec311-lab1/ALU.vf,-w,X:/My Documents/ec311/ec311-lab1/ALU.sch
diff --git a/sev_seg_disp.vf b/sev_seg_disp.vf
index f19330a..89f7bf5 100755
--- a/sev_seg_disp.vf
+++ b/sev_seg_disp.vf
@@ -7,11 +7,11 @@
// \ \ \/ Version : 13.3
// \ \ Application : sch2hdl
// / / Filename : sev_seg_disp.vf
-// /___/ /\ Timestamp : 02/15/2012 15:00:08
+// /___/ /\ Timestamp : 02/16/2012 18:40:36
// \ \ / \
// \___\/\___\
//
-//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/lab1/sev_seg_disp.vf" -w "X:/My Documents/ec311/lab1/sev_seg_disp.sch"
+//Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/sev_seg_disp.vf" -w "X:/My Documents/ec311/ec311-lab1/sev_seg_disp.sch"
//Design Name: sev_seg_disp
//Device: spartan6
//Purpose:
diff --git a/usage_statistics_webtalk.html b/usage_statistics_webtalk.html
index 75086d7..c049566 100755
--- a/usage_statistics_webtalk.html
+++ b/usage_statistics_webtalk.html
@@ -17,7 +17,7 @@
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project ID (random number)</B></TD>
-<TD><xtag-property name="RandomID">dbce46a19c5c40ccaaf30d92d5e4ee96</xtag-property>.<xtag-property name="ProjectID">122a59e4f4dc4f05b8d5b3e9ab90be80</xtag-property>.<xtag-property name="ProjectIteration">1</xtag-property></TD>
+<TD><xtag-property name="RandomID">6a162e1ea80e42df9d06b05d72bff062</xtag-property>.<xtag-property name="ProjectID">9e8420de10a2429e85800c55fcf0284c</xtag-property>.<xtag-property name="ProjectIteration">1</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Target Package:</B></TD>
<TD><xtag-property name="TargetPackage"></xtag-property></TD>
</TR>
@@ -29,7 +29,7 @@
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Date Generated</B></TD>
-<TD><xtag-property name="Date Generated">2012-02-15T15:29:36</xtag-property></TD>
+<TD><xtag-property name="Date Generated">2012-02-16T21:17:39</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Tool Flow</B></TD>
<TD><xtag-property name="ToolFlow">IMPACT</xtag-property></TD>
</TR>
@@ -49,7 +49,19 @@
<TD><xtag-property-name>Part1</xtag-property-name>=<xtag-property-value>xc6slx16</xtag-property-value></TD>
<TR ALIGN=CENTER><TD COLSPAN=4><B>Boundary Scan Operations Statistics</B></TD></TR>
<TR>
-<TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 -v </xtag-property-value></TD>
+<TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 </xtag-property-value></TD>
+<TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 </xtag-property-value></TD>
+<TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 </xtag-property-value></TD>
+<TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 </xtag-property-value></TD>
+
+</TR><TR><TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 </xtag-property-value></TD>
+<TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 </xtag-property-value></TD>
+<TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 </xtag-property-value></TD>
+<TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 </xtag-property-value></TD>
+
+</TR><TR><TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 </xtag-property-value></TD>
+<TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 </xtag-property-value></TD>
+<TD><xtag-property-name>BSCAN Operation</xtag-property-name>=<xtag-property-value>Program -p 0 </xtag-property-value></TD>
<TR ALIGN=CENTER><TD COLSPAN=4><B>Cable Summary</B></TD></TR>
<TR>
<TD><xtag-property-name>Cable Type</xtag-property-name>=<xtag-property-value>Nexys3</xtag-property-value></TD>
diff --git a/webtalk.log b/webtalk.log
index b3b6d7b..d3c4486 100755
--- a/webtalk.log
+++ b/webtalk.log
@@ -3,7 +3,7 @@ Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
Project Information
--------------------
-ProjectID=122a59e4f4dc4f05b8d5b3e9ab90be80
+ProjectID=9e8420de10a2429e85800c55fcf0284c
ProjectIteration=1
WebTalk Summary
@@ -13,4 +13,4 @@ INFO:WebTalk:2 - WebTalk is enabled.
INFO:WebTalk:8 - WebTalk Install setting is ON.
INFO:WebTalk:6 - WebTalk User setting is ON.
-INFO:WebTalk:4 - X:/My Documents/ec311/lab1/usage_statistics_webtalk.html WebTalk report has been successfully sent to Xilinx on 2012-02-15T15:29:44. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/13.3/ISE_DS/ISE/data/reports/webtalk_introduction.html
+INFO:WebTalk:4 - X:/My Documents/ec311/ec311-lab1/usage_statistics_webtalk.html WebTalk report has been successfully sent to Xilinx on 2012-02-16T21:17:46. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/13.3/ISE_DS/ISE/data/reports/webtalk_introduction.html
diff --git a/webtalk_impact.xml b/webtalk_impact.xml
index a0211d4..26b11db 100755
--- a/webtalk_impact.xml
+++ b/webtalk_impact.xml
@@ -3,9 +3,9 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
-<application name="impact" timeStamp="Wed Feb 15 15:29:36 2012">
+<application name="impact" timeStamp="Thu Feb 16 21:17:38 2012">
<section name="Project Information" visible="false">
-<property name="ProjectID" value="122a59e4f4dc4f05b8d5b3e9ab90be80"/>
+<property name="ProjectID" value="9e8420de10a2429e85800c55fcf0284c"/>
<property name="ProjectIteration" value="1"/>
</section>
<section name="iMPACT Project Info" visible="true">
@@ -25,7 +25,27 @@ This means code written to parse this file will need to be revisited each subseq
<property name="Part1" value="xc6slx16"/>
</item>
<item name="Boundary Scan Operations Statistics">
-<property name="BSCAN Operation" value="Program -p 0 -v
+<property name="BSCAN Operation" value="Program -p 0
+"/>
+<property name="BSCAN Operation" value="Program -p 0
+"/>
+<property name="BSCAN Operation" value="Program -p 0
+"/>
+<property name="BSCAN Operation" value="Program -p 0
+"/>
+<property name="BSCAN Operation" value="Program -p 0
+"/>
+<property name="BSCAN Operation" value="Program -p 0
+"/>
+<property name="BSCAN Operation" value="Program -p 0
+"/>
+<property name="BSCAN Operation" value="Program -p 0
+"/>
+<property name="BSCAN Operation" value="Program -p 0
+"/>
+<property name="BSCAN Operation" value="Program -p 0
+"/>
+<property name="BSCAN Operation" value="Program -p 0
"/>
</item>
<item name="Cable Summary">
diff --git a/webtalk_pn.xml b/webtalk_pn.xml
index 5409eba..78fb8e5 100755
--- a/webtalk_pn.xml
+++ b/webtalk_pn.xml
@@ -3,11 +3,11 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
-<application name="pn" timeStamp="Wed Feb 15 15:16:03 2012">
+<application name="pn" timeStamp="Thu Feb 16 19:53:06 2012">
<section name="Project Information" visible="false">
<property name="ProjectID" value="23EF1CF2DA254B0F8463C6B682ABB1F9" type="project"/>
-<property name="ProjectIteration" value="1" type="project"/>
-<property name="ProjectFile" value="X:/My Documents/ec311/lab1/lab1.xise" type="project"/>
+<property name="ProjectIteration" value="11" type="project"/>
+<property name="ProjectFile" value="X:/My Documents/ec311/ec311-lab1/lab1.xise" type="project"/>
<property name="ProjectCreationTimestamp" value="2012-02-15T14:51:10" type="project"/>
</section>
<section name="Project Statistics" visible="true">
@@ -16,6 +16,7 @@ This means code written to parse this file will need to be revisited each subseq
<property name="PROP_LastAppliedGoal" value="Balanced" type="design"/>
<property name="PROP_LastAppliedStrategy" value="Xilinx Default (unlocked)" type="design"/>
<property name="PROP_ManualCompileOrderImp" value="false" type="design"/>
+<property name="PROP_OverwriteSym" value="true" type="process"/>
<property name="PROP_PropSpecInProjFile" value="Store all values" type="design"/>
<property name="PROP_Simulator" value="ISim (VHDL/Verilog)" type="design"/>
<property name="PROP_SynthTopFile" value="changed" type="process"/>
@@ -24,7 +25,7 @@ This means code written to parse this file will need to be revisited each subseq
<property name="PROP_UserConstraintEditorPreference" value="Text Editor" type="process"/>
<property name="PROP_intProjectCreationTimestamp" value="2012-02-15T14:51:10" type="design"/>
<property name="PROP_intWbtProjectID" value="23EF1CF2DA254B0F8463C6B682ABB1F9" type="design"/>
-<property name="PROP_intWbtProjectIteration" value="1" type="process"/>
+<property name="PROP_intWbtProjectIteration" value="11" type="process"/>
<property name="PROP_intWorkingDirLocWRTProjDir" value="Same" type="design"/>
<property name="PROP_intWorkingDirUsed" value="No" type="design"/>
<property name="PROP_lockPinsUcfFile" value="changed" type="process"/>
diff --git a/xlnx_auto_0_xdb/cst.xbcd b/xlnx_auto_0_xdb/cst.xbcd
index 4ccedbd..3b63769 100755
--- a/xlnx_auto_0_xdb/cst.xbcd
+++ b/xlnx_auto_0_xdb/cst.xbcd
Binary files differ
diff --git a/xst/work/work.sdbl b/xst/work/work.sdbl
index cc5bedb..41dc61a 100755
--- a/xst/work/work.sdbl
+++ b/xst/work/work.sdbl
Binary files differ
diff --git a/xst/work/work.sdbx b/xst/work/work.sdbx
index 164ea43..774796a 100755
--- a/xst/work/work.sdbx
+++ b/xst/work/work.sdbx
Binary files differ