From 57738e75e221fe61a8f87270b430c0f1c0b8ead5 Mon Sep 17 00:00:00 2001 From: Michael Abed Date: Thu, 16 Feb 2012 15:46:19 -0500 Subject: initial commit --- ALU.bld | 36 + ALU.cmd_log | 10 + ALU.jhd | 9 + ALU.lso | 1 + ALU.ncd | 3 + ALU.ngc | 3 + ALU.ngd | 3 + ALU.ngr | 3 + ALU.pad | 354 + ALU.par | 148 + ALU.pcf | 25 + ALU.prj | 16 + ALU.ptwx | 332 + ALU.sch | 466 + ALU.stx | 0 ALU.syr | 551 + ALU.twr | 98 + ALU.twx | 338 + ALU.ucf | 21 + ALU.unroutes | 9 + ALU.ut | 33 + ALU.vf | 974 + ALU.xpi | 3 + ALU.xst | 52 + ALU_bitgen.xwbt | 8 + ALU_envsettings.html | 545 + ALU_guide.ncd | 3 + ALU_map.map | 138 + ALU_map.mrp | 206 + ALU_map.ncd | 3 + ALU_map.ngm | 3 + ALU_map.xrpt | 410 + ALU_ngdbuild.xrpt | 122 + ALU_pad.csv | 355 + ALU_pad.txt | 354 + ALU_par.xrpt | 2423 + ALU_summary.html | 343 + ALU_summary.xml | 10 + ALU_usage.xml | 248 + ALU_xst.xrpt | 190 + Divide.cmd_log | 2 + Divide.jhd | 9 + Divide.sch | 171 + Divide.sym | 33 + Divide.vf | 212 + Divide_0.cmd_log | 2 + Divide_0.jhd | 1 + Divide_0.sch | 170 + Divide_0.sym | 24 + Divide_0.vf | 62 + Divide_1.cmd_log | 3 + Divide_1.jhd | 1 + Divide_1.sch | 209 + Divide_1.sym | 24 + Divide_1.vf | 70 + Divide_2.cmd_log | 2 + Divide_2.jhd | 1 + Divide_2.sch | 84 + Divide_2.sym | 24 + Divide_2.vf | 54 + Divide_3.cmd_log | 2 + Divide_3.jhd | 1 + Divide_3.sch | 84 + Divide_3.sym | 24 + Divide_3.vf | 44 + Modulo.cmd_log | 2 + Modulo.jhd | 9 + Modulo.sch | 151 + Modulo.sym | 33 + Modulo.vf | 214 + Modulo_0.cmd_log | 2 + Modulo_0.jhd | 1 + Modulo_0.sch | 160 + Modulo_0.sym | 24 + Modulo_0.vf | 55 + Modulo_1.cmd_log | 2 + Modulo_1.jhd | 1 + Modulo_1.sch | 295 + Modulo_1.sym | 24 + Modulo_1.vf | 93 + Modulo_3.cmd_log | 2 + Modulo_3.jhd | 1 + Modulo_3.sch | 165 + Modulo_3.sym | 24 + Modulo_3.vf | 64 + Negate.cmd_log | 2 + Negate.jhd | 9 + Negate.sch | 170 + Negate.sym | 33 + Negate.vf | 203 + Negate_0.cmd_log | 2 + Negate_0.jhd | 1 + Negate_0.sch | 108 + Negate_0.sym | 24 + Negate_0.vf | 50 + Negate_1.cmd_log | 2 + Negate_1.jhd | 1 + Negate_1.sch | 168 + Negate_1.sym | 24 + Negate_1.vf | 62 + Negate_2.cmd_log | 2 + Negate_2.jhd | 1 + Negate_2.sch | 179 + Negate_2.sym | 24 + Negate_2.vf | 62 + Negate_3.cmd_log | 2 + Negate_3.jhd | 1 + Negate_3.sch | 89 + Negate_3.sym | 24 + Negate_3.vf | 47 + _ngo/netlist.lst | 2 + _xmsgs/bitgen.xmsgs | 12 + _xmsgs/map.xmsgs | 27 + _xmsgs/ngdbuild.xmsgs | 9 + _xmsgs/par.xmsgs | 15 + _xmsgs/pn_parser.xmsgs | 12 + _xmsgs/trce.xmsgs | 15 + _xmsgs/xst.xmsgs | 15 + alu.bgn | 138 + alu.bit | Bin 0 -> 464285 bytes alu.drc | 8 + alu.msd | 231961 +++++++++++++++++++++ alu.msk | Bin 0 -> 464285 bytes alu.rbb | Bin 0 -> 464117 bytes alu.rbd | 231961 +++++++++++++++++++++ impact.xsl | 55 + impact_impact.xwbt | 8 + iseconfig/ALU.xreport | 215 + iseconfig/lab1.projectmgr | 79 + lab1.gise | 259 + lab1.xise | 423 + pa.fromHdl.tcl | 58 + pa.fromNetlist.tcl | 11 + par_usage_statistics.html | 32 + planAhead.ngc2edif.log | 11 + planAhead_run_1/lab1.data/constrs_1/fileset.xml | 25 + planAhead_run_1/lab1.data/sources_1/fileset.xml | 181 + planAhead_run_1/lab1.data/wt/webtalk_pa.xml | 30 + planAhead_run_1/lab1.ppr | 13 + planAhead_run_1/planAhead.jou | 12 + planAhead_run_1/planAhead.log | 114 + planAhead_run_1/planAhead_run.log | 93 + sch2HdlBatchFile | 0 sev_seg_disp.jhd | 1 + sev_seg_disp.sch | 975 + sev_seg_disp.sym | 57 + sev_seg_disp.vf | 236 + usage_statistics_webtalk.html | 72 + webtalk.log | 16 + webtalk_impact.xml | 39 + webtalk_pn.xml | 47 + xlnx_auto_0_xdb/cst.xbcd | Bin 0 -> 1631 bytes xst/work/work.sdbl | Bin 0 -> 131898 bytes xst/work/work.sdbx | Bin 0 -> 1035 bytes 154 files changed, 480011 insertions(+) create mode 100755 ALU.bld create mode 100755 ALU.cmd_log create mode 100755 ALU.jhd create mode 100755 ALU.lso create mode 100755 ALU.ncd create mode 100755 ALU.ngc create mode 100755 ALU.ngd create mode 100755 ALU.ngr create mode 100755 ALU.pad create mode 100755 ALU.par create mode 100755 ALU.pcf create mode 100755 ALU.prj create mode 100755 ALU.ptwx create mode 100755 ALU.sch create mode 100755 ALU.stx create mode 100755 ALU.syr create mode 100755 ALU.twr create mode 100755 ALU.twx create mode 100755 ALU.ucf create mode 100755 ALU.unroutes create mode 100755 ALU.ut create mode 100755 ALU.vf create mode 100755 ALU.xpi create mode 100755 ALU.xst create mode 100755 ALU_bitgen.xwbt create mode 100644 ALU_envsettings.html create mode 100755 ALU_guide.ncd create mode 100755 ALU_map.map create mode 100755 ALU_map.mrp create mode 100755 ALU_map.ncd create mode 100755 ALU_map.ngm create mode 100755 ALU_map.xrpt create mode 100755 ALU_ngdbuild.xrpt create mode 100755 ALU_pad.csv create mode 100755 ALU_pad.txt create mode 100755 ALU_par.xrpt create mode 100755 ALU_summary.html create mode 100755 ALU_summary.xml create mode 100755 ALU_usage.xml create mode 100755 ALU_xst.xrpt create mode 100755 Divide.cmd_log create mode 100755 Divide.jhd create mode 100755 Divide.sch create mode 100755 Divide.sym create mode 100755 Divide.vf create mode 100755 Divide_0.cmd_log create mode 100755 Divide_0.jhd create mode 100755 Divide_0.sch create mode 100755 Divide_0.sym create mode 100755 Divide_0.vf create mode 100755 Divide_1.cmd_log create mode 100755 Divide_1.jhd create mode 100755 Divide_1.sch create mode 100755 Divide_1.sym create mode 100755 Divide_1.vf create mode 100755 Divide_2.cmd_log create mode 100755 Divide_2.jhd create mode 100755 Divide_2.sch create mode 100755 Divide_2.sym create mode 100755 Divide_2.vf create mode 100755 Divide_3.cmd_log create mode 100755 Divide_3.jhd create mode 100755 Divide_3.sch create mode 100755 Divide_3.sym create mode 100755 Divide_3.vf create mode 100755 Modulo.cmd_log create mode 100755 Modulo.jhd create mode 100755 Modulo.sch create mode 100755 Modulo.sym create mode 100755 Modulo.vf create mode 100755 Modulo_0.cmd_log create mode 100755 Modulo_0.jhd create mode 100755 Modulo_0.sch create mode 100755 Modulo_0.sym create mode 100755 Modulo_0.vf create mode 100755 Modulo_1.cmd_log create mode 100755 Modulo_1.jhd create mode 100755 Modulo_1.sch create mode 100755 Modulo_1.sym create mode 100755 Modulo_1.vf create mode 100755 Modulo_3.cmd_log create mode 100755 Modulo_3.jhd create mode 100755 Modulo_3.sch create mode 100755 Modulo_3.sym create mode 100755 Modulo_3.vf create mode 100755 Negate.cmd_log create mode 100755 Negate.jhd create mode 100755 Negate.sch create mode 100755 Negate.sym create mode 100755 Negate.vf create mode 100755 Negate_0.cmd_log create mode 100755 Negate_0.jhd create mode 100755 Negate_0.sch create mode 100755 Negate_0.sym create mode 100755 Negate_0.vf create mode 100755 Negate_1.cmd_log create mode 100755 Negate_1.jhd create mode 100755 Negate_1.sch create mode 100755 Negate_1.sym create mode 100755 Negate_1.vf create mode 100755 Negate_2.cmd_log create mode 100755 Negate_2.jhd create mode 100755 Negate_2.sch create mode 100755 Negate_2.sym create mode 100755 Negate_2.vf create mode 100755 Negate_3.cmd_log create mode 100755 Negate_3.jhd create mode 100755 Negate_3.sch create mode 100755 Negate_3.sym create mode 100755 Negate_3.vf create mode 100755 _ngo/netlist.lst create mode 100755 _xmsgs/bitgen.xmsgs create mode 100755 _xmsgs/map.xmsgs create mode 100755 _xmsgs/ngdbuild.xmsgs create mode 100755 _xmsgs/par.xmsgs create mode 100755 _xmsgs/pn_parser.xmsgs create mode 100755 _xmsgs/trce.xmsgs create mode 100755 _xmsgs/xst.xmsgs create mode 100755 alu.bgn create mode 100755 alu.bit create mode 100755 alu.drc create mode 100755 alu.msd create mode 100755 alu.msk create mode 100755 alu.rbb create mode 100755 alu.rbd create mode 100755 impact.xsl create mode 100755 impact_impact.xwbt create mode 100755 iseconfig/ALU.xreport create mode 100755 iseconfig/lab1.projectmgr create mode 100755 lab1.gise create mode 100755 lab1.xise create mode 100755 pa.fromHdl.tcl create mode 100755 pa.fromNetlist.tcl create mode 100755 par_usage_statistics.html create mode 100755 planAhead.ngc2edif.log create mode 100755 planAhead_run_1/lab1.data/constrs_1/fileset.xml create mode 100755 planAhead_run_1/lab1.data/sources_1/fileset.xml create mode 100755 planAhead_run_1/lab1.data/wt/webtalk_pa.xml create mode 100755 planAhead_run_1/lab1.ppr create mode 100755 planAhead_run_1/planAhead.jou create mode 100755 planAhead_run_1/planAhead.log create mode 100755 planAhead_run_1/planAhead_run.log create mode 100755 sch2HdlBatchFile create mode 100755 sev_seg_disp.jhd create mode 100755 sev_seg_disp.sch create mode 100755 sev_seg_disp.sym create mode 100755 sev_seg_disp.vf create mode 100755 usage_statistics_webtalk.html create mode 100755 webtalk.log create mode 100755 webtalk_impact.xml create mode 100755 webtalk_pn.xml create mode 100755 xlnx_auto_0_xdb/cst.xbcd create mode 100755 xst/work/work.sdbl create mode 100755 xst/work/work.sdbx diff --git a/ALU.bld b/ALU.bld new file mode 100755 index 0000000..6186a2d --- /dev/null +++ b/ALU.bld @@ -0,0 +1,36 @@ +Release 13.3 ngdbuild O.76xd (nt64) +Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. + +Command Line: C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe +-intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 ALU.ngc +ALU.ngd + +Reading NGO file "X:/My Documents/ec311/lab1/ALU.ngc" ... +Gathering constraint information from source properties... +Done. + +Annotating constraints to design from ucf file "ALU.ucf" ... +Resolving constraint associations... +Checking Constraint Associations... +Done... + +Checking expanded design ... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Total memory usage is 156564 kilobytes + +Writing NGD file "ALU.ngd" ... +Total REAL time to NGDBUILD completion: 4 sec +Total CPU time to NGDBUILD completion: 4 sec + +Writing NGDBUILD log file "ALU.bld"... diff --git a/ALU.cmd_log b/ALU.cmd_log new file mode 100755 index 0000000..de0ed5a --- /dev/null +++ b/ALU.cmd_log @@ -0,0 +1,10 @@ +xst -intstyle ise -ifn "X:/My Documents/ec311/lab1/ALU.xst" -ofn "X:/My Documents/ec311/lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +xst -intstyle ise -ifn "X:/My Documents/ec311/lab1/ALU.xst" -ofn "X:/My Documents/ec311/lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf +bitgen -intstyle ise -f ALU.ut ALU.ncd diff --git a/ALU.jhd b/ALU.jhd new file mode 100755 index 0000000..7beadb5 --- /dev/null +++ b/ALU.jhd @@ -0,0 +1,9 @@ +MODULE ALU + SUBMODULE Divide + INSTANCE XLXI_1 + SUBMODULE Modulo + INSTANCE XLXI_2 + SUBMODULE Negate + INSTANCE XLXI_3 + SUBMODULE sev_seg_disp + INSTANCE XLXI_9 diff --git a/ALU.lso b/ALU.lso new file mode 100755 index 0000000..22de730 --- /dev/null +++ b/ALU.lso @@ -0,0 +1 @@ +work diff --git a/ALU.ncd b/ALU.ncd new file mode 100755 index 0000000..be0b713 --- /dev/null +++ b/ALU.ncd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6 +###6396:XlxV32DM 3fff 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###2448:XlxV32DM 3fff 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###1464:XlxV32DM 1cb8 5a0eNqtWU2SqzgMvpJlyWCSzauZC8xbzAHSaVh2VS9mRfXdRxagGLANxK9T1WmUT7Js/Xxymp40UEsdoRscUueInDHOsmTgp8F5tEiuQzSGBgduYPmBjrzbgGSlRW7Xtl7SLSo8M9bwc+9aY35+vqDvb+aG41cHN4D7f5252fu/friB8yMCvx6E7JVznj5lHcCO1wFk/SAh4PcI8doB78k5YqQ104q0009iHTpEIht8T+ihIpzsjre9RfNeLcHsr0UQPVBsVk/QyOhujSVHTwTG4gZLE3b+3E2+rbBL1NY6XnGiE51DQA5BW/zd54qPrK60Fh3iUzyTSSuLRZ2zubmy+Kfy2V/O5+GVzybO524I+UyJfA7rWPH6vXx+6V/L50mPtvlcRJsLaMDmEtpeQPs1livAJbF+zge3qqZ05dkM1io2W00zbtLZVxOgu1xNolOqjPDXOxU16ZUqpGj5gl5UJ3CqumD7vK2uwXB1dVJdbVRc9tmOoXVycZ0tDJcJFJ4orjU6IV+XcGSnzRb3okvS0MNnKD7mm0XZDopv0lCq7ISQeLFiE1ZeVFrnzVk7LrQr+YySpzzZ8SfsOLVjK06HtG2jeFaXfdMplenBHtKDq/aD5sa0tdFK07UyNtiMZndt9dmezQxp0lqLK7rdfv2iKQQRmjKolf06fqE1bfugmlMll0kQIkKBQ4KFiNbg8kml9bR2ZGRc9pvLrsOTmq28v47UqDRr0Gbt42b9kWzWk/kuMo9RIDCXHovrvHSfaccuzCE57XhynlPE5Dc+p6LJrNOeDmkX3QC2e2tEq4nuABiFP42OZycs2PavBI5tzyFPn/IuJsnbyMFuk/TZVVqwlfokmZFtuEJ8dNBwuxpylbP1Qh9NFZ01SmdYRa+t2qEqujdK9zX0anX4wCqSbpSkq7zR0QwKzbvhm38/E3XOxvmcja2905MkEtKMLTfjhzTjJmrGaKUZN8lm3K4Lnz+3ycJ/pWk/O5NrxN3hfKPzHf9m1DE+hdsd5ZVWYTOThjnkw9Kuy7x73ISP18ELE4VdTSJ4OLfgIdqs0ToT4eG8VaL54x2kKXs/r5oMAbTXVkw2caq2Mfl3XBnlHIdqP7DyVtUpfTQVjdYpfZRosa325zydgTZ+rKJ7UrqHCjtGaRGqviE4s6sz31i0Gi2cyQaZbEjI5hGRzZPGHdPkc7Qp3DDf9fXP3ftPfkszRfugcpt895qvJ2fvvsXT1MHdzlEijdJHHKU2F6X0v0yE92fL+Q7UzzuBQh9+P6raI6u+69pk8qUTyHmV39PnicwQ2xwrfHCoQEK1/FPh54u8CkGFj0aFToUfpEJU4dOqkFT4aVRoFyH//Pr192it5bu7913fPlnw12hH/xHQ/LKmv3/7z/vP6FsVDZOIsf+Mv3kD5v6b8XD/BhMevgHkKdgdwhvyG4P/BzdSodI= \ No newline at end of file diff --git a/ALU.ngc b/ALU.ngc new file mode 100755 index 0000000..3c55a01 --- /dev/null +++ b/ALU.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$05e4=592K87LBZ3:CM4==FF9UDNXH<;@L2<>GI9VEIYK=4AO0;?DH5WFH^J>5NN2:8EK5XGK_M86OAD368EKB4<2KEH984A^KAQC79;BNH5=613JF@>:>:;BNH6]>5:AOOF723JF@H<;4CMIGRf=DDBN]SIGYIEG6?FJLNQ20OAEIX0:3=>EHEDC_XHJ8;BPFEQCC>2ITEO[I1:F1?A653M;97I<=;E124>BALBn~`nnS=;H31?L453@997D:=;H77?LDRN01BBDZ\T@VF7>OI^?1GCNEJD69OKBODIE>0@XZ9109N0Z7BWARB@D@W_@NV<>Kj}qUD=Khl|fdS4MnfvhjYJ]ZOYSLBZ2:L31>HFFM8>7COAD278JDHC<>1EIYY@RJ68JJT49;1Eijo{e^2\IPUBZVKGY<<4Ndebp`Y6WD_XI_QNLT31?Kc`i}oT>RCZSDP\EIS6:2Dnklzj_2]NQVCUWHF^==5AefcwaZKR[LXTMA[>;N:8K+11pmUG86AMUG18KW443FX8?6A]429LV07PICWE6>U6?2Y:SDLZF038WMTBOVOSX_OLT^LDG`=T@[OLS@GA_RKYA3=TG\XHI;5\T@VVW1=SQYOh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n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d3QFSER7 A^@BVf=_DQCT5"LPB@P`?]J_AV3$ORLNRb9[H]OX1&NTNL\j;YN[MZ?(PERBS>>j;YN[MZ?(PERBS>?j;YN[MZ?(PERBS>=j;YN[MZ?(PERBS>:j;YN[MZ?(PERBS>;j;YN[MZ?(PERBS>9j;YN[MZ?(PERBS>6j;YN[MZ?(PERBS>7j;YN[MZ?(PERBS9>j;YN[MZ?(PERBS9?j;YN[MZ?(PERBS9k4XMZJ[<)_DQCT99k4XMZJ[<)_DQCT98k4XMZJ[<)_DQCT9;k4XMZJ[<)_DQCT9:k4XMZJ[<)_DQCT95j4XMZJ[<)_DQCT:h5WLYK\=*^KP@U=h5WLYK\=*^KP@U=?h5WLYK\=*^KP@U=8h5WLYK\=*^KP@U=9h5WLYK\=*^KP@U=:h5WLYK\=*^KP@U=;h5WLYK\=*^KP@U=4h5WLYK\=*^KP@U=5i5WLYK\=*^KP@Uj6VCXH]:+]J_FV;:?k5WLYK\=*^KPGU:=9h4XMZJ[<)_DQDT=?;i;YN[MZ?(PERES<<9f:ZO\LY>'QFSBR?=8g9[H]OX1&RGTCQ>28d8\I^NW0%S@U@P122e?]J_AV3$TAVA_012b>^KP@U2#UBWN^371c=_DQCT5"VCXO]203`4k5WLYK\=*^KPGU::=h4XMZJ[<)_DQDT=;'QFSBR8>e:ZO\LY>'QFSBR8=e:ZO\LY>'QFSBR8'QFSBR8;e:ZO\LY>'QFSBR8:e:ZO\LY>'QFSBR7>e:ZO\LY>'QFSBR7=e:ZO\LY>'QFSBR7'QFSBR7;7:ZO\KY6:>1S@U@P2358\I^IW;9<7UBWN^073>^KPGU99:5WLYL\631;6VCXO]022=_DQDT?:94XMZM[6>03QFSBR=67:ZO\KY39<1SBB\=4:ZLV700:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90UH]:;`]lqqg7hQ`uuc8aZir|VEIYK;4f^mvpd=aWfSBLZF49d[jssi2mTcxzPOCWE53=tmUxijQkirr\IPUBZVKGY95|ifl:?vo`fVEIYK?>;ya5wi~6>$9&o~i<25CDu5c;2JKt?4I:382V42286882?k71i3<0(<87:7`8yV43284}T:<0::o4:6;30754>?39:?9?4vU46>5<628025v]=5;35f?312898h0?>6*:d;34a>d5290:>77<:8;xL3><,?=1>6*94;28 35=9?i0e<4?::ke>5<>o2n3:17d8>:188k6<722e>6=44o683>>i>2900c<8k:188k40a2900c<9>:188k4142900c<9::188k4102900c<96:188k41d2900n<88:182>5<7s-<<6>;4H045?M0?3f9?6=44}c336?6=93:12B=46a:c;29?xd0l3:187>50z&53?3>3A;=:6F98:k06<729q/::4:8:J223=O>11b?54?::k0=?6=3f?>6=44}c:1>5<5290;w)88:528L4013A<37d=8:188k03=831vn5?50;094?6|,?=18=5G1748L3>>{e?o0;6>4?:1y'222B=46g<8;29?l5>2900c8;50;9~f=2=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm7b83>0<729q/::4k;I352>N102c847>5;h1:>5<>i2=3:17pl7d;290?6=8r.=;7;6;I352>N102c847>5;h1:>5<>{e0o0;6?4?:1y'22<382B::;5G699j72<722e>97>5;|`:5?6=:3:12B=46g<7;29?j322900qo6j:187>5<7s-<<6n5G1748L3>>o4i3:17b;::188yg?729096=4?{%44>16<@8<=7E87;h14>5<11b?54?::k0=?6=3f?>6=44}c4g>5<3290;w)88:5d8L4013A<37d=7:188m6?=831b?l4?::m61?6=3th<97>54;294~"1?3?27E?96:J5<>o403:17d=6:188m6g=831d984?::a37<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17pl9f;290?6=8r.=;7m4H045?M0?3`936=44i2;94?=n;h0;66a:5;29?xd0;3:1>7>50z&53?273A;=:6F98:k03?6=3f?>6=44}c52>5<4290;w)88:c9K530<@?20e>650;9j7<<722e>97>5;|`44?6=;3:1N6>?1C:55f3683>>i2=3:17pln6;290?6=8r.=;7;6;I352>N102c847>5;h1:>5<>{ei=0;694?:1y'227<729q/::4;0:J223=O>11b?:4?::m61?6=3thj;7>52;294~"1?3>;7E?96:J5<>o4?3:17b;::188ygg4290?6=4?{%44>f=O9?<0D;64i2:94?=n;00;66g5<7s-<<69>4H045?M0?3`9<6=44o4794?=zjh?1<7:50;2x 31=k2B::;5G699j7=<722c857>5;h1b>5<650;9j7<<722c8m7>5;n76>5<=831b?44?::k0e?6=3f?>6=44}c;`>5<5290;w)88:528L4013A<37d=8:188k03=831vn4o50;094?6|,?=18=5G1748L3>>{e1?0;694?:1y'227<729q/::4;0:J223=O>11b?:4?::m61?6=3th247>54;294~"1?3i0D<89;I4;?l5?2900e>750;9j7d<722e>97>5;|`a`?6=;3:12B=46g<8;29?l5>2900c8;50;9~fg4=8391<7>t$7591==O9?<0D;64i2:94?=n;00;66a:5;29?xde13:187>50z&53?3>3A;=:6F98:k07<729q/::4;0:J223=O>11b?:4?::m61?6=3thi;7>54;294~"1?3i0D<89;I4;?l5?2900e>750;9j7d<722e>97>5;|`ag?6=:3:12B=46g<7;29?j322900qol9:181>5<7s-<<69>4H045?M0?3`9<6=44o4794?=zjk>1<7<50;2x 31=<91C=;84H7:8m61=831d984?::afd<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17plm0;290?6=8r.=;7m4H045?M0?3`936=44i2;94?=n;h0;66a:5;29?xde;3:197>50z&53?2c3A;=:6F98:k050z&53?b<@8<=7E87;h1;>5<>o4j3:17b;::188ygde29096=4?{%44>16<@8<=7E87;h14>5<11b?54?::k0=?6=3`9j6=44o4794?=zjjo1<7=50;2x 31==11C=;84H7:8m6>=831b?44?::m61?6=3thho7>53;294~"1?3h0D<89;I4;?l5?2900e>750;9l10<722wioi4?:583>5}#>>0?o6F>679K2==n;10;66g<9;29?l5f2900c8;50;9~ff`=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66smcc83>6<729q/::4>659K530<@?20e>650;9j7<<722e>97>5;|`f52;294~"1?3>;7E?96:J5<>o4?3:17b;::188ygc>29096=4?{%44>16<@8<=7E87;h14>5<650;9j7<<722e>97>5;|`e4?6=<3:12B=46g<8;29?l5>2900e>o50;9l10<722wiik4?:583>5}#>>0h7E?96:J5<>o403:17d=6:188m6g=831d984?::ab4<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17pli2;296?6=8r.=;7:?;I352>N102c8;7>5;n76>5<=831b?44?::m61?6=3thm?7>52;294~"1?3>;7E?96:J5<>o4?3:17b;::188ygcb29086=4?{%44>g=O9?<0D;64i2:94?=n;00;66a:5;29?xdc>3:1?7>50z&53?3?3A;=:6F98:k01<7=50;2x 31=j2B::;5G699j7=<722c857>5;n76>5<1<7>t$759g>N6>?1C:55f3983>>o413:17d=n:188k03=831vni750;094?6|,?=18=5G1748L3>>{elh0;6?4?:1y'22<382B::;5G699j72<722e>97>5;|`g3?6=<3:12B=46g<8;29?l5>2900e>o50;9l10<722wii<4?:383>5}#>>0?<6F>679K2==n;>0;66a:5;29?xdb;3:1?7>50z&53?2e3A;=:6F98:k0=831b?44?::k0e?6=3f?>6=44}c334?6=03;1;vF98:&53?233-9=6<>>;h0;>5<>o5j3:17d=<:188m0`=831b:<4?::m61?6=3k>>6=48:183!002=90D<89;I4;?!5a2;i0e>650;9j7<<722c8m7>5;h1a>5<>i2=3:17p}=8;296~X5027?97=l;|q1=?6=:rT9563;5;1a?xu5i3:1>vP=a:?71?5c3ty9n7>52z\1f>;3=3927p}:f;296~X2n27?97=7;|q55?6=:rT==63;5;1b?xu2=3:1>vP:5:?71?323twijh4?:982>2}O>11/::4;4:&02?`a3`836=44i3;94?=n:h0;66g=b;29?l542900e8h50;9j24<722e>97>5;c66>5<0290;w)88:518L4013A<37)=i:3a8m6>=831b?44?::k0e?6=3`9i6=44i2a94?=n;m0;66a:5;29?xu503:1>vP=8:?71?5d3ty957>52z\1=>;3=39i7p}=a;296~X5i27?97=k;|q1f?6=:rT9n63;5;1:?xu2n3:1>vP:f:?71?5?3ty==7>52z\55>;3=39j7p}:5;296~X2=27?97;:;|abf<7210:6:uG699'22<3<2.8:7hk;h0;>5<>o5j3:17d=<:188m0`=831b:<4?::m61?6=3k>>6=48:183!002=90D<89;I4;?!5a2;i0e>650;9j7<<722c8m7>5;h1a>5<>i2=3:17p}=8;296~X5027?97=l;|q1=?6=:rT9563;5;1a?xu5i3:1>vP=a:?71?5c3ty9n7>52z\1f>;3=3927p}:f;296~X2n27?97=7;|q55?6=:rT==63;5;1b?xu2=3:1>vP:5:?71?323twijl4?:982>2}O>11/::4;4:&02?`e3`836=44i3;94?=n:h0;66g=b;29?l542900e8h50;9j24<722e>97>5;c66>5<0290;w)88:518L4013A<37)=i:3a8m6>=831b?44?::k0e?6=3`9i6=44i2a94?=n;m0;66a:5;29?xu503:1>vP=8:?71?5d3ty957>52z\1=>;3=39i7p}=a;296~X5i27?97=k;|q1f?6=:rT9n63;5;1:?xu2n3:1>vP:f:?71?5?3ty==7>52z\55>;3=39j7p}:5;296~X2=27?97;:;|a562=8381<7>t$75955=O9?<0D;64i2594?=h=<0;66sm13d94?4=83:p(;95119K530<@?20e>950;9l10<722wi=?:50;094?6|,?=1==5G1748L3>>{e98k1<7<50;2x 31=991C=;84H7:8m61=831d984?::a57b=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm13g94?4=83:p(;95419K530<@?20e>950;9l10<722wi=>?50;094?6|,?=18=5G1748L3>>{e9::1<7<50;2x 31=<91C=;84H7:8m61=831d984?::a57d=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm12194?4=83:p(;95419K530<@?20e>950;9l10<722wi=?o50;094?6|,?=18=5G1748L3>>{e9;i1<7<50;2x 31=<91C=;84H7:8m61=831d984?::a54c=8391<7>t$7591==O9?<0D;64i2:94?=n;00;66a:5;29?xd6:;0;6>4?:1y'22=831b?44?::m61?6=3th:=i4?:483>5}#>>0>m6F>679K2==n;10;66g<9;29?l5f2900e>l50;9l10<722wi=<750;194?6|,?=1n6F>679K2==n;10;66g<9;29?j322900qo?>4;297?6=8r.=;7l4H045?M0?3`936=44i2;94?=h=<0;66sm11;94?5=83:p(;95b:J223=O>11b?54?::k0=?6=3f?>6=44}c311?6==3:12B=46g<8;29?l5>2900e>o50;9j7g<722e>97>5;|`266<72<0;6=u+6686e>N6>?1C:55f3983>>o413:17d=n:188m6d=831d984?::a547=83?1<7>t$7591d=O9?<0D;64i2:94?=n;00;66g16<@8<=7E87;h14>5<5<1290;w)88:4`8L4013A<37d=7:188m6?=831b?l4?::k0f?6=3`9h6=44o4794?=zj8;<6=4;:183!002<30D<89;I4;?l5?2900e>750;9j7d<722e>97>5;|`255<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17pl>2983>6<729q/::4m;I352>N102c847>5;h1:>5<5<4290;w)88:c9K530<@?20e>650;9j7<<722e>97>5;|`25g<72:0;6=u+668a?M71>2B=46g<8;29?l5>2900c8;50;9~f47?29086=4?{%44>g=O9?<0D;64i2:94?=n;00;66a:5;29?xd69<0;694?:1y'22950;9l10<722wi==o50;194?6|,?=1n6F>679K2==n;10;66g<9;29?j322900qo??8;290?6=8r.=;7m4H045?M0?3`936=44i2;94?=n;h0;66a:5;29?xd6:?0;6>4?:1y'22=831b?44?::k0e?6=3f?>6=44}c32b?6=<3:15}#>>0h7E?96:J5<>o403:17d=6:188m6g=831d984?::a55c=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm11594?2=83:p(;95c:J223=O>11b?54?::k0=?6=3`9j6=44o4794?=zj8826=4<:183!002k1C=;84H7:8m6>=831b?44?::m61?6=3th:>=4?:583>5}#>>0h7E?96:J5<>o403:17d=6:188m6g=831d984?::a540=8391<7>t$759533<@8<=7E87;h1;>5<>{e9881<7=50;2x 31=9?>0D<89;I4;?l5?2900e>750;9l10<722wi==j50;094?6|,?=18=5G1748L3>>{e99i1<7=50;2x 31=j2B::;5G699j7=<722c857>5;n76>5<N6>?1C:55f3683>>i2=3:17pl=6;296?6=8r.=;7=j;I352>N102c8;7>5;n76>5<t$7597`=O9?<0D;64i2594?=h=<0;66sm6383>7<729q/::411b?:4?::m61?6=3th?6=4=:183!002<=0D<89;I4;?l502900c8;50;9~f3<72;0;6=u+66863>N6>?1C:55f3683>>i2=3:17pl7:181>5<7s-<<6894H045?M0?3`9<6=44o4794?=zjh0;6?4?:1y'22<2?2B::;5G699j72<722e>97>5;|`22`<72;0;6=u+66863>N6>?1C:55f3683>>i2=3:17pl>7183>7<729q/::4:7:J223=O>11b?:4?::m61?6=3th:;?4?:383>5}#>>0>;6F>679K2==n;>0;66a:5;29?xd6?=0;6?4?:1y'22<2?2B::;5G699j72<722e>97>5;|`233<72;0;6=u+66863>N6>?1C:55f3683>>i2=3:17pl>7983>7<729q/::4:7:J223=O>11b?:4?::m61?6=3th:;l4?:383>5}#>>0>;6F>679K2==n;>0;66a:5;29?xd6?m0;6?4?:1y'22<2?2B::;5G699j72<722e>97>5;|qf>5<6=r73>7=8;<5`>6><51o1?4526b80=>;f<39j70o<:2c89d3=;h165:4o4=c7972=:j>08m63m0;1b?8d42:h01o653`9>a=<4027nj7=n;6?<5l91?452f`81<>;b2:182<~;?939<709i:2;89=`=;>16:i464=6297==:i008;63n3;1:?8?d2:=01485389>ff<4?27i<7=6;<`0>6g<5k;1?l52cb80=>;dl39j70k9:2589c7=;>16h54<7:?g1?5?34o96>o4=ga96==:980>96s|2783>4?|5191?:527d80=>;0k392707>:2589=c=;h16:i4<9:?40?5034k<6>94=`197==:1h08;6366;1;?8d12:=01o:5369>fd<4?27i<7=7;6?<5jh1?452e8803>;a:39<70kk:2:89a?=;>16h:4<9:?f6?5>34ln6?64=34910=z{;n1<7?6{<:7>61<5>i1?o5291803>;1l393709=:25893`=;116:k4<9:?b6>64=8`972=:1108463m3;1;?8d62:201ol5369>f=<4027hj7=8;6><5l=1?452f2803>;bm39270jn:2589a1=;h16i?4<8:?245<50279h7;:;|q54?6==r7:<=4:f:?ea?3a34lh68h4=gc91c=:>90>96s|6383>0}:99:1:<52fd855>;ak3<:70hn:738934==<1v<;i:1818122?:3c8yv72m3:1>v370;76?8`b2;k0q~?:d;296~;?l3?>70hl:3c8yv72k3:1>v39c;76?8`f2;k0q~?90;296~;dm3?>70??0;0a?xu6>80;6?u2be861>;am38i7p}>6383>7}:i?0>963ic;0a?xu6>:0;6?u298861>;ai38i7p}>5883>7}:m>0>963ic;0:?xu6=h0;6?u2f1861>;am3827p}>5c83>7}:l?0>963>0181=>{t9<21<75<>s4lh68;4=031>6><58;=6>64=024>6><58:n6>94=03`>6g<58;m6>74=002>6?<588=6>74}r362?6=ir7mi7;:;<314?5?34;;47=n;<33e?5?34;;j7=8;<321?5f34;:47=6;<32f?5?34;9;7=6;<313ty:9:4?:6y>556==<16==953`9>54e=;016==65389>543=;016=<>5369>541=;11v94?:3y>54g==<1687=8;|q5>5<5s4;987;:;<4972=z{10;6?u213d910=:039<7p}n:181874<3?>70o5369~w40b2909w0?=a;76?871m39<7p}>7183>7}:9;h19852162972=z{8=96=4={<31g?3234;<>7=8;|q231<72;q6=?k5549>522=;>1v<99:181875l3?>70?86;14?xu6?10;6?u2122910=:9>21?:5rs05b>5<5s4;8=7;:;<34e?503ty:;i4?:3y>565==<16=:j5369~w4342903w0??2;7`?877839870hj:2189ce=;:16jl4<3:?25d<4?27:>94<7:?26c<4?2wx8l4?:3y>531=;=16=>:5369~w=?=838p1:j5549>3c<402wx4;4?:3y>3a<4i273>7;:;|q;g?6=:r73=7;:;<5`>6g6?<5>l1985rs9594?4|5>n1?55282861>{t0<0;6?u27e80=>;0m3?>7p}78;296~;0m393706;:478yv>e2909w06?:2:892e==<1v4=50;0x9=`==<164h4<8:p=0<72;q64i4<9:?:5?323ty2>7>52z?;`?5?342n68;4}r;7>5<5s42o6>o4=82910=z{?o1<7m0>96s|7783>7}:?;0>96380;1:?xu0i3:1>v385;1:?80a234<2=2wx;44?:3y>30<4i27<<7;:;|q4036?<5h>1985rs`d94?4|5h319852a480=>{tim0;6?u2a580=>;f?3?>7p}na;296~;f>39j70o<:478yvgb2909w0o;:2:89d>==<1vlm50;0x9d0=;116m84:5:p=`<72;q6544<9:?:3?323tyj>7>52z?:g?3234336>74}rc3>5<5s43<6>74=8c910=z{0n1<796s|a083>7}:1>084636b;76?xu>n3:1>v369;1;?8??2f<<2=2wxo84?:3y>f0<2=27i=7=m;|q`5?6=:r7i57=6;<`4>0303<5k21?45rsb494?4|5k<19852b080=>{tk=0;6?u2b5861>;e;3927p}l8;296~;e?39270ln:478yvdb2909w0l6:2c89g6==<1voh50;0x9g4=;016n>4:5:pg5<72;q6n?4<8:?a5?323tyh57>52z?a3?5?34hi68;4}ra4>5<5s4h26>64=c:910=z{m81<796s|d083>7}:kl08563ld;76?xuc;3:1>v3ld;1;?8ea2a2<402wxio4?:3y>a=<4127n:7;:;|qfe?6=:r7n47=n;036><5ll1985rsg594?4|5ll1?552f0861>{tn10;6>u2eg80=>;a:3?>70kj:2:8yv`22909w0h?:2c89`b==<1vk750;0x9`b=;016j>4:5:pb1<72;q6j=4<9:?fa?323tyn<7>52z?g2?5>34n?68;4}rf`>5<5s4n368;4=e597==z{mh1<796s|de83>7}:l<08563k9;76?xucm3:1>v3k5;1b?8bf2a6<402wxi84?:3y>a4<4?27n>7;:;|q203<72;q6=57b=;>1v<=k:181875;39370?=2;76?xu6=90;6?u210397d=:9891985rs066>5<5s4;:h7;:;<31a?503ty:?44?:2y>54?==<16=54c=;11v<;>:181876939270?>4;76?xu65<5s4;997;:;<305?503ty:8:4?:3y>575==<16=>>5369~w4242909w0?>1;76?875j39<7p}>4883>7}:9:819852121972=z{8>96=4={<33f?3234;9m7=8;|q201<72;q6=<95549>57e=;>1v<>9:18b875139370?=1;1;?877i39270?>8;1;?875?39370?>0;76?877139270?>9;1;?876;39370?=2;1;?xu6<90;6?u213:910=:9;?1?45rs01e>5<5s4;9;7;:;<311?5f3ty:?;4?:3y>54d==<16=8;76?876l39i70?>e;1:?xu6=;0;6?u2107910=:98;1?55rs026>5<>s4;;;7=6;<32g?5?34;:j7=7;<312?5?34;;j7;:;<323?5>34;:87=6;<327?5>34;9>7=6;|q20a<72;q6==o5549>55d=;01v<:m:18187703?>70??b;1a?xu6;l0;6?u2134910=:9;?1?o5rs01`>5<5s4;9=7;:;<317?5>3ty:?l4?:3y>54`==<16=?=53c9~w4502909w0?>c;76?876l3937p}>0583>=}:99i1?55213297<=:99o1985210`97<=:9;21?55211;97==:98>1?55210;97<=z{8>j6=4={<333?3234;;n7=l;|q204<72;q6=?75549>573=;11v<=m:18187583?>70?=3;1b?xu6;<0;6?u2104910=:98=1?l5rs06e>5<5s4;:>7;:;<325?5e3ty:<>4?:7y>55b==<16=577=;h16==65399>543=;116=><5369~w42b2909w0??c;76?877j3937p}>:181[7<5l08;6s|f;296~Xa34;:6>94}r31>5<5sW;970<9:258yv402909wS<8;<0g>61:181[0634<96>94}r194?4|V:1687;:;|q6>5<5sW?01;4:5:p3?6=:rT<7065549~w<<72;qU563n:478yv71l3:1>vP>6e9>53c==<1v<8i:181[71n27:;=4:5:p527=838pR<9>;<346?323ty:;>4?:3y]525<58=?68;4}r341?6=:rT:;852164910=z{8=<6=4={_343>;6?10>96s|16;94?4|V8=270?8a;76?xu6?j0;6?uQ16a8941c27}O>11vb?o=:181M0?3td9m>4?:3yK2==zf;k?6=4={I4;?xh5i<0;68uG699~j7g1290>wE87;|l1e2<72;qC:55rn3c;>5<5sA<37p`=a883>7}O>11vb?on:181M0?3td9mo4?:3yK2==zf;kh6=4={I4;?xh5im0;6?uG699~j7gb2909wE87;|l1ec<72;qC:55rn3`3>5<5sA<37p`=b083>7}O>11vb?l=:181M0?3td9n>4?:3yK2==zf;h?6=4={I4;?xh5j<0;6?uG699~j7d12909wE87;|l1f2<728qC:55rn3`;>5<6sA<37p`=b883>4}O>11vb?ln:182M0?3td9no4?:0yK2==zf;hh6=4>{I4;?xh5jm0;65<6sA<37p`=c083>4}O>11vb?m=:182M0?3td9o>4?:0yK2==zf;i?6=4>{I4;?xh5k<0;65<6sA<37p`=c883>4}O>11vb?mn:182M0?3td9oo4?:0yK2==zf;ih6=4>{I4;?xh5km0;65<6sA<37p`=d083>4}O>11vb?j=:182M0?3td9h>4?:0yK2==zf;n?6=4>{I4;?xh5l<0;65<6sA<37p`=d883>4}O>11vb?jn:182M0?3td9ho4?:0yK2==zf;nh6=4>{I4;?xh5lm0;65<6sA<37p`=e083>4}O>11vb?k=:182M0?3td9i>4?:0yK2==zf;o?6=4>{I4;?xh5m<0;65<6sA<37p`=e883>4}O>11vb?kn:182M0?3td9io4?:0yK2==zf;oh6=4>{I4;?xh5mm0;65<6sA<37p`=f083>4}O>11vb?h=:182M0?3td9j>4?:0yK2==zf;l?6=4>{I4;?xh5n<0;65<6sA<37p`=f883>4}O>11vb?hn:182M0?3td9jo4?:0yK2==zf;lh6=4>{I4;?xh5nm0;65<6sA<37p`<0083>4}O>11vb>>=:182M0?3td8<>4?:0yK2==zf::?6=4>{I4;?xh48<0;65<6sA<37p`<0883>4}O>11vb>>n:182M0?3td8{I4;?xh48m0;65<6sA<37p`<1083>4}O>11vb>?=:182M0?3td8=>4?:0yK2==zf:;?6=4>{I4;?xh49<0;65<6sA<37p`<1883>4}O>11vb>?n:182M0?3td8=o4?:0yK2==zf:;h6=4>{I4;?xh49m0;65<6sA<37p`<2083>4}O>11vb><=:182M0?3td8>>4?:0yK2==zf:8?6=4>{I4;?xh4:<0;65<6sA<37p`<2883>4}O>11vb>o4?:0yK2==zf:8h6=4>{I4;?xh4:m0;65<6sA<37p`<3083>4}O>11vb>==:182M0?3td8?>4?:0yK2==zf:9?6=4>{I4;?xh4;<0;65<6sA<37p`<3883>4}O>11vqpsO@By0`6;oikr@A@x4xFGXrwKL \ No newline at end of file diff --git a/ALU.ngd b/ALU.ngd new file mode 100755 index 0000000..169b26d --- /dev/null +++ b/ALU.ngd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0`75=6>2.Yi{g|inl9$4(5=?$?"<.+1:0f?3ukp8GI811JB=Q@BTD0?DH602KE=RAMUG18EK4?3HD9SBLZF29BJ6>;C08F=5EKC0:>7NBDA058GIMF9M8<7NBDA0F73>EKCH;O485LLJ@21>EKCJ;>7NBDD078GIMC^j1H@FJY_EKUMAC23JF@JU64CMIE\4>712IDA@G[TDF4?FTBI]OO:6MPICWE5>B53M:97I?=;E01?A553M3:<6JID4FB@5>A0<2J4=k4DSA\WPEDMZYMYA84D^KAQC753Mc}eikP0^OVW@TXIE_:>6Jfvhff[4YJ]ZOYSLBZ139GmsocmV8TAX]JR^COQ44OI02CEJH\]EE;8MKOS[]K_I>5FNWg8MKYIOMUJBIQMCE48MJEHEY<0EBZVPD18H4203ECI^L\V6:NLEACC;2FDO;5COBIF@2=KGNCHMA:4LTV57>K6;:1F=9?>;L6\5@YOP@FBBUQNLTa8Idlhz_oydaac:OjjjtQm{ybcc64Mlw{[J769;1Fci{co^2\IPUBZVKGY<<4MnfvhjY6WD_XI_QNLT31?Hic}eeT?RCZSDP\EIS682Gdhxb`_LWPAWYFD\80B==4N060?K72;2D::85AAOF11>HFFM9>7COAD558J@RPG[A?7CA]3008J`af|lU;S@[\ES]BHP753GolmykP1^OVW@TXIE_:>6@jg`vf[7YJ]ZOYSLBZ139MabgsmV9TAX]JR^COQ46IU::1D^>=4OS60?JT2;2E^X<5_3:R236=W9190\LJ;;QCQP3=W[MEEI>5]OL08W51<[9UBNXH=;R34?V7XAK_M=<5\HSGD[@^SZHI_SCILe:QKV@AXE@DT_DTJ6:QWEQST;2^:<>5[1618P4>53]3?7YW_E29V525<]82h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n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d3QFSER7 A^@BVf=_DQCT5"LPB@P`?]J_AV3$ORLNRb9[H]OX1&NTNL\j;YN[MZ?(PERBS>>j;YN[MZ?(PERBS>?j;YN[MZ?(PERBS>=j;YN[MZ?(PERBS>:j;YN[MZ?(PERBS>;j;YN[MZ?(PERBS>9j;YN[MZ?(PERBS>6j;YN[MZ?(PERBS>7j;YN[MZ?(PERBS9>j;YN[MZ?(PERBS9?j;YN[MZ?(PERBS9k4XMZJ[<)_DQCT99k4XMZJ[<)_DQCT98k4XMZJ[<)_DQCT9;k4XMZJ[<)_DQCT9:k4XMZJ[<)_DQCT95j4XMZJ[<)_DQCT:h5WLYK\=*^KP@U=h5WLYK\=*^KP@U=?h5WLYK\=*^KP@U=8h5WLYK\=*^KP@U=9h5WLYK\=*^KP@U=:h5WLYK\=*^KP@U=;h5WLYK\=*^KP@U=4h5WLYK\=*^KP@U=5i5WLYK\=*^KP@Uj6VCXH]:+]J_FV;:?k5WLYK\=*^KPGU:=9h4XMZJ[<)_DQDT=?;i;YN[MZ?(PERES<<9f:ZO\LY>'QFSBR?=8g9[H]OX1&RGTCQ>28d8\I^NW0%S@U@P122e?]J_AV3$TAVA_012b>^KP@U2#UBWN^371c=_DQCT5"VCXO]203`4k5WLYK\=*^KPGU::=h4XMZJ[<)_DQDT=;'QFSBR8>e:ZO\LY>'QFSBR8=e:ZO\LY>'QFSBR8'QFSBR8;e:ZO\LY>'QFSBR8:e:ZO\LY>'QFSBR7>e:ZO\LY>'QFSBR7=e:ZO\LY>'QFSBR7'QFSBR7;7:ZO\KY6:>1S@U@P2358\I^IW;9<7UBWN^073>^KPGU99:5WLYL\631;6VCXO]022=_DQDT?:94XMZM[6>03QFSBR=67:ZO\KY39<1SBB\=3:ZLV1=_G[8=7U][LH@4?]USWNDO:6Vkb^Kgf>^c`VZye`Gkxc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2SN_95UESM6?dYh}}k0mRazt^MAQC31=v]=e;3:g?1128989c85?!7>=33<7p]=d;3:g?112898:01047?02:;8855\2e82ea<62898>=968056263-;36;I14?sR4n3:1=7?598yP6`<61j0<:7?<310:3?56;=;0(4855c9U5{#0:087):=:19'05<6j:1/8<4:3:`4>5<6:3k>647tH868 <7=?2.3n7<4$9c955;29?l7f2900e>>50;9j<3<722c347>5;n:94?=hi3:17bm50;9la?6=3f;2j7>5;n3b5?6=3f;j?7>5;n3b1?6=3f;j;7>5;n3b=?6=3f;jn7>5;n3bb?6=3k;287>51;294~">93>>7E?63:J:0>"6110:5h5+5682f7=h<=0;6)?68;5f?>{e9;o1<7?50;2x <7=1<1C=4=4H868 4??2>20(8951c08k2`=83.:5548e:9~fd?=83>1<7>t$8393g=O9090D4:4$0;;>2?<,<=1=o<4i5:94?"6110?j65f4883>!7>03>m76g;a;29 4??2=l07b9::18'5<>=?l10qool:180>5<7s-3:6:o4H0;0?M?33-;24796;%74>4d53`>36=4+18:90c=9987b>=h?<0;6)?68;5f?>{eil0;6?4?:1y'=4<2:2B:5>5G959'5<>=9>1/9:4>b39j02<72-;247:i;:m41?6=,8336:k4H0;4?>{eim0;6?4?:1y'=4<2:2B:5>5G959'5<>=9>1/9:4>b39j02<72-;247:i;:m41?6=,8336:k4H0;4?>{eik0;6>4?:1y'=4<692B:5>5G959'5<>=991/9:4>b39j0=<72-;247:i;:k7=?6=,83369h4;n56>5<#9021;h54}cce>5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=5<4290;w)7>:038L4?43A3?7)?68;33?!3028h97d:7:18'5<>=5}#180>>6F>929K=1=#9021=:5+5682f7=n<>0;6)?68;6e?>i0=3:1(<77:6g8L4?032wim54?:483>5}#180:?6F>929K=1=#9021==5+5682f7=n<10;6)?68;6e?>o313:1(<77:5d8?l2f290/=4654g98m1d=83.:554;f:9l30<72-;2479j;:af<<72=0;6=u+9084f>N61:1C595+18:93<=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07d:n:18'5<>=9987b>=h?<0;6)?68;5f?M7>?21vnoj50;094?6|,0;19?5G1818L<2<,8336<94$4595g49987b>=h?<0;6)?68;5f?M7>?21vnoo50;694?6|,0;1=?5G1818L<2<,8336<>4$4595g49987b>=n<00;6)?68;6e?>o3i3:1(<77:5d8?j12290/=4657d98ygdd29096=4?{%;2>04<@8387E7;;%3:5<#90218k54o6794?"61109698yg??29086=4?{%;2>47<@8387E7;;%3:5<#90218k54i5;94?"6110?j65`7483>!7>03=n76sm9883>1<729q/5<49f:J2=6=O1=1/=465789'12<6j;1b854?:%3:5$0;;>1`<3`>j6=4+18:90c=?1<7*>9984a>N61>10qoo>:187>5<7s-3:6:l4H0;0?M?33-;24796;%74>4d53`>36=4+18:90c=9987b>=ni0=3:1(<77:6g8?xd>m3:1>7>50z&:5?353A;2?6F64:&2==<6?2.>;7?m2:k73?6=,83369h4;n56>5<#9021;h5G1858?xd>j3:187>50z&:5?753A;2?6F64:&2==<682.>;7?m2:k75<#90218k54i5c94?"6110?j65`7483>!7>03=n76sm9g83>7<729q/5<4:2:J2=6=O1=1/=465169'12<6j;1b8:4?:%3:5$0;;>2c<@83<76sm9e83>6<729q/5<4>1:J2=6=O1=1/=465119'12<6j;1b854?:%3:5$0;;>1`<3f=>6=4+18:93`=03;;7);8:0`1?l2?290/=4654g98m1?=83.:554;f:9l30<72-;2479j;:ae5<72;0;6=u+90866>N61:1C595+18:952=#=>0:n?5f4683>!7>03>m76a85;29 4??2>o0D<78;:a`7<72=0;6=u+9084f>N61:1C595+18:93<=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07d:n:18'5<>=50;694?6|,0;1=?5G1818L<2<,8336<>4$4595g49987b>=n<00;6)?68;6e?>o3i3:1(<77:5d8?j12290/=4657d98ygb229096=4?{%;2>04<@8387E7;;%3:5<#90218k54o6794?"61109698ygb429096=4?{%;2>04<@8387E7;;%3:5<#90218k54o6794?"61109698ygea290?6=4?{%;2>44<@8387E7;;%3:5<#90218k54i5;94?"6110?j65f4`83>!7>03>m76a85;29 4??2>o07plk4;296?6=8r.2=7;=;I3:7>N><2.:554>7:&63?7e:2c?;7>5$0;;>1`<3f=>6=4+18:93`=O90=07plk1;290?6=8r.2=7?=;I3:7>N><2.:554>0:&63?7e:2c?47>5$0;;>1`<3`>26=4+18:90c=9987b>=h?<0;6)?68;5f?>{ek<0;694?:1y'=4<0j2B:5>5G959'5<>=?01/9:4>b39j0=<72-;247:i;:k7=?6=,83369h4;h6b>5<#90218k54o6794?"61100(<77:028 01=9k80e9650;&2==<3n21b844?:%3:5$0;;>1`<3f=>6=4+18:93`=03;<7);8:0`1?l20290/=4654g98k23=83.:5548e:J2=2=03;<7);8:0`1?l20290/=4654g98k23=83.:5548e:J2=2=03;;7);8:0`1?l2?290/=4654g98m1?=83.:554;f:9j0d<72-;247:i;:m41?6=,8336:k4;|``3?6=:3:1;2B286*>99823>"2?3;i>6g;7;29 4??2=l07b9::18'5<>=?l1C=494;|``0?6=<3:1;2B286*>99824>"2?3;i>6g;8;29 4??2=l07d:6:18'5<>=5}#180929K=1=#9021;45+5682f7=n<10;6)?68;6e?>o313:1(<77:5d8?j12290/=4657d98ygbb29086=4?{%;2>2g<@8387E7;;%3:3-?<65<#90218k54i5;94?"6110?j65`7483>!7>03=n76sme483>1<729q/5<48b:J2=6=O1=1/=465789'12<6j;1b854?:%3:5$0;;>1`<3`>j6=4+18:90c=?1<7*>9984a>=zjl;1<7<50;2x <7==;1C=4=4H868 4??28=0(8951c08m11=83.:554;f:9l30<72-;2479j;I3:3>=zjl91<7:50;2x <7=9;1C=4=4H868 4??28:0(8951c08m1>=83.:554;f:9j0<<72-;247:i;:k7e?6=,83369h4;n56>5<#9021;h54}cg;>5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=5<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=5<3290;w)7>:008L4?43A3?7)?68;33?!3028h97d:7:18'5<>=5$0;;>2c<3thoj7>55;294~">93"6110:<6*:7;3a6>o303:1(<77:5d8?l2>290/=4654g98m1g=83.:554;f:9j0g<72-;247:i;:m41?6=,8336:k4H0;4?>{elm0;684?:1y'=4<6;2B:5>5G959'5<>=991/9:4>b39j0=<72-;247:i;:k7=?6=,83369h4;h6b>5<#90218k54i5`94?"6110?j65`7483>!7>03=n76sme683>7<729q/5<4:2:J2=6=O1=1/=465169'12<6j;1b8:4?:%3:5$0;;>2c<@83<76sme583>1<729q/5<4>2:J2=6=O1=1/=465119'12<6j;1b854?:%3:5$0;;>1`<3`>j6=4+18:90c=?1<7*>9984a>=zjok1<7=50;2x <7=?h1C=4=4H868 4??2>30(8951c08m1>=83.:554;f:9j0<<72-;247:i;:m41?6=,8336:k4;|`e;2B286*>99824>"2?3;i>6g;8;29 4??2=l07d:6:18'5<>=4$4595g49987b>=n<00;6)?68;6e?>o3i3:1(<77:5d8?j12290/=4657d9K5<1<3thmn7>52;294~">93?97E?63:J:0>"6110:;6*:7;3a6>o3?3:1(<77:5d8?j12290/=4657d9K5<1<3thm;7>53;294~">93;2<6F>929K=1=#9021=4?4$4595g49987b>=n<00;6)?68;6e?>i0=3:1(<77:6g8L4?032wi=<:50;694?6|,0;1=?5G1818L<2<,8336<>4$4595g49987b>=n<00;6)?68;6e?>o3i3:1(<77:5d8?j12290/=4657d98yg76:3:1>7>50z&:5?353A;2?6F64:&2==<6?2.>;7?m2:k73?6=,83369h4;n56>5<#9021;h5G1858?xd69<0;6?4?:1y'=4<2:2B:5>5G959'5<>=9>1/9:4>b39j02<72-;247:i;:m41?6=,8336:k4H0;4?>{e9891<7=50;2x <7=?h1C=4=4H868 4??2>30(8951c08m1>=83.:554;f:9j0<<72-;247:i;:m41?6=,8336:k4;|`25f<72=0;6=u+9084f>N61:1C595+18:93<=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07d:n:18'5<>=5<7s-3:6<<4H0;0?M?33-;247??;%74>4d53`>36=4+18:90c=9987b>=ni0=3:1(<77:6g8?xd69m0;6?4?:1y'=4<2:2B:5>5G959'5<>=9>1/9:4>b39j02<72-;247:i;:m41?6=,8336:k4H0;4?>{e98o1<7<50;2x <7==;1C=4=4H868 4??28=0(8951c08m11=83.:554;f:9l30<72-;2479j;I3:3>=zj8;26=4<:183!?628;0D<7<;I;7?!7>03;;7);8:0`1?l2?290/=4654g98m1?=83.:554;f:9l30<72-;2479j;:a54`=8381<7>t$83917=O9090D4:4$0;;>41<,<=1=o<4i5594?"6110?j65`7483>!7>03=n7E?67:9~f47f29086=4?{%;2>47<@8387E7;;%3:5<#90218k54i5;94?"6110?j65`7483>!7>03=n76sm11094?5=83:p(4?57`9K5<5<@0>0(<77:6;8 01=9k80e9650;&2==<3n21b844?:%3:5$0;;>2c<3th:<=4?:283>5}#180:=6F>929K=1=#9021==5+5682f7=n<10;6)?68;6e?>o313:1(<77:5d8?j12290/=4657d98yg77<3:1>7>50z&:5?353A;2?6F64:&2==<6?2.>;7?m2:k73?6=,83369h4;n56>5<#9021;h5G1858?xd6880;694?:1y'=4<6:2B:5>5G959'5<>=991/9:4>b39j0=<72-;247:i;:k7=?6=,83369h4;h6b>5<#90218k54o6794?"61105<5290;w)7>:408L4?43A3?7)?68;34?!3028h97d:8:18'5<>=;2B286*>99823>"2?3;i>6g;7;29 4??2=l07b9::18'5<>=?l1C=494;|`246<72=0;6=u+9084f>N61:1C595+18:93<=#=>0:n?5f4983>!7>03>m76g;9;29 4??2=l07d:n:18'5<>=k:181>5<7s-3:68<4H0;0?M?33-;247?8;%74>4d53`><6=4+18:90c=?1<7*>9984a>N61>10qo??f;297?6=8r.2=78m;I3:7>N><2.:554>0:&63?7e:2c?47>5$0;;>1`<3`>26=4+18:90c=?1<7*>9984a>N61>10qo??e;290?6=8r.2=79m;I3:7>N><2.:55489:&63?7e:2c?47>5$0;;>1`<3`>26=4+18:90c=9987b>=h?<0;6)?68;5f?>{e9;i1<7651;5xL<2<,0;19k5+47826a=n;80;66g<2;29?l542900e>:50;9j7`<722c3:7>5;h:;>5<?1<75m6383>2<729q/5<4:c:J2=6=O1=1/=465849'12<6j;1/9<4<6:k7=n<00;6)?68;48j4?12810e9o50;&2==<13g;2:7<4;h6a>5<#9021:6`>9780?>o3k3:1(<77:79m5<0=<21b8i4?:%3:<4=7090g=z{:91<7:50;0xZ62<5?81845rs9494?4|V1<01;<5499~w=>=838pR564=7090d=z{>?1<7:188m64=831b?>4?::k00?6=3`9n6=44i9494?=n010;66a85;29?g05290<6=4?{%;2>0e<@8387E7;;%3:23-?<6609985?k7>>3:07d:6:18'5<>=>2d:5;4>;:k7e?6=,8336;5a