From 70b77304f37d9681aa3bfa0eb57df0bcfd1aef81 Mon Sep 17 00:00:00 2001 From: Michael Abed Date: Fri, 17 Feb 2012 12:08:05 -0500 Subject: make it work --- ALU.bld | 4 +- ALU.cmd_log | 67 + ALU.jhd | 18 +- ALU.ncd | 2 +- ALU.ngc | 2 +- ALU.ngd | 2 +- ALU.ngr | 2 +- ALU.pad | 10 +- ALU.par | 12 +- ALU.pcf | 8 +- ALU.sch | 930 ++++---- ALU.schlog | 0 ALU.sym | 63 + ALU.syr | 169 +- ALU.twr | 100 +- ALU.twx | 4 +- ALU.ucf | 7 +- ALU.unroutes | 2 +- ALU.vf | 258 +- ALU_bitgen.xwbt | 6 +- ALU_envsettings.html | 100 +- ALU_guide.ncd | 2 +- ALU_map.map | 40 +- ALU_map.mrp | 8 +- ALU_map.ncd | 2 +- ALU_map.ngm | 2 +- ALU_map.xrpt | 14 +- ALU_ngdbuild.xrpt | 46 +- ALU_pad.csv | 10 +- ALU_pad.txt | 10 +- ALU_par.xrpt | 42 +- ALU_summary.html | 62 +- ALU_summary.xml | 2 +- ALU_usage.xml | 256 +- ALU_xst.xrpt | 32 +- Divide.cmd_log | 9 + Divide.jhd | 18 +- Divide.sch | 339 ++- Divide.schlog | 0 Divide.sym | 66 +- Divide.vf | 72 +- Divide_0.cmd_log | 7 + Divide_0.jhd | 2 +- Divide_0.sch | 337 ++- Divide_0.sym | 48 +- Divide_0.vf | 14 +- Divide_1.cmd_log | 1 + Divide_1.jhd | 2 +- Divide_1.sch | 416 ++-- Divide_1.sym | 48 +- Divide_1.vf | 4 +- Divide_2.cmd_log | 2 + Divide_2.jhd | 2 +- Divide_2.sch | 202 +- Divide_2.sym | 48 +- Divide_2.vf | 39 +- Divide_3.cmd_log | 2 + Divide_3.sch | 79 +- Divide_3.sym | 48 +- Divide_3.vf | 21 +- Modulo.cmd_log | 5 + Modulo.sch | 6 +- Modulo.schlog | 0 Modulo.sym | 66 +- Modulo.vf | 91 +- Modulo_0.cmd_log | 4 + Modulo_0.sch | 174 +- Modulo_0.sym | 48 +- Modulo_0.vf | 41 +- Modulo_1.cmd_log | 3 + Modulo_1.jhd | 2 +- Modulo_1.sch | 593 ++--- Modulo_1.sym | 48 +- Modulo_1.vf | 54 +- Modulo_3.cmd_log | 2 + Modulo_3.schlog | 2 + Modulo_3.sym | 48 +- Modulo_3.vf | 4 +- Negate.cmd_log | 4 + Negate.jhd | 18 +- Negate.sch | 338 +-- Negate.schlog | 0 Negate.sym | 66 +- Negate.vf | 77 +- Negate_0.cmd_log | 2 + Negate_0.sym | 48 +- Negate_0.vf | 4 +- Negate_1.cmd_log | 2 + Negate_1.sym | 48 +- Negate_1.vf | 4 +- Negate_2.cmd_log | 4 + Negate_2.jhd | 2 +- Negate_2.sch | 369 +-- Negate_2.sym | 48 +- Negate_2.vf | 59 +- Negate_3.cmd_log | 2 + Negate_3.jhd | 2 +- Negate_3.sch | 192 +- Negate_3.sym | 48 +- Negate_3.vf | 22 +- _ngo/netlist.lst | 2 +- _xmsgs/bitgen.xmsgs | 2 +- _xmsgs/map.xmsgs | 12 +- _xmsgs/par.xmsgs | 4 +- _xmsgs/pn_parser.xmsgs | 24 +- _xmsgs/trce.xmsgs | 6 +- alu.bgn | 2 +- alu.bit | Bin 464285 -> 464285 bytes alu.drc | 2 +- alu.msd | 2 +- alu.msk | Bin 464285 -> 464285 bytes alu.rbb | Bin 464117 -> 464117 bytes alu.rbd | 636 ++--- impact_impact.xwbt | 6 +- iseconfig/ALU.xreport | 8 +- iseconfig/lab1.projectmgr | 156 +- lab1.gise | 43 +- lab1.xise | 5 +- pa.fromHdl.tcl | 2 +- pa.fromNetlist.tcl | 6 +- par_usage_statistics.html | 18 +- planAhead.ngc2edif.log | 88 + .../lab1.data/cache/ALU_ngc_c04f956c.edif | 2404 +++++++++++++++++++ .../lab1.data/constrs_1/designprops.xml | 29 + planAhead_run_1/lab1.data/constrs_1/fileset.xml | 20 + planAhead_run_1/lab1.data/constrs_1/usercols.xml | 4 + planAhead_run_1/lab1.data/runs/impl_1.psg | 18 + planAhead_run_1/lab1.data/runs/runs.xml | 5 + planAhead_run_1/lab1.data/sources_1/chipscope.xml | 6 + planAhead_run_1/lab1.data/sources_1/fileset.xml | 156 +- planAhead_run_1/lab1.data/sources_1/ports.xml | 24 + planAhead_run_1/lab1.ppr | 1 + planAhead_run_1/planAhead.jou | 22 +- planAhead_run_1/planAhead.log | 139 +- planAhead_run_1/planAhead_run.log | 112 +- .../lab1.data/cache/ALU_ngc_c04f956c.edif | 2476 ++++++++++++++++++++ planAhead_run_2/lab1.data/constrs_1/fileset.xml | 25 + planAhead_run_2/lab1.data/runs/impl_1.psg | 18 + planAhead_run_2/lab1.data/runs/runs.xml | 5 + planAhead_run_2/lab1.data/sources_1/fileset.xml | 29 + planAhead_run_2/lab1.data/wt/webtalk_pa.xml | 26 + planAhead_run_2/lab1.ppr | 14 + planAhead_run_2/planAhead.jou | 18 + planAhead_run_2/planAhead.log | 198 ++ planAhead_run_2/planAhead_run.log | 196 ++ sch2HdlBatchFile | 3 + sev_seg_disp.vf | 4 +- usage_statistics_webtalk.html | 18 +- webtalk.log | 4 +- webtalk_impact.xml | 26 +- webtalk_pn.xml | 9 +- xlnx_auto_0_xdb/cst.xbcd | Bin 1631 -> 1549 bytes xst/work/work.sdbl | Bin 131898 -> 139419 bytes xst/work/work.sdbx | Bin 1035 -> 1035 bytes 154 files changed, 9769 insertions(+), 3934 deletions(-) create mode 100755 ALU.schlog create mode 100755 ALU.sym create mode 100755 Divide.schlog create mode 100755 Modulo.schlog create mode 100755 Modulo_3.schlog create mode 100755 Negate.schlog create mode 100755 planAhead_run_1/lab1.data/cache/ALU_ngc_c04f956c.edif create mode 100755 planAhead_run_1/lab1.data/constrs_1/designprops.xml create mode 100755 planAhead_run_1/lab1.data/constrs_1/usercols.xml create mode 100755 planAhead_run_1/lab1.data/runs/impl_1.psg create mode 100755 planAhead_run_1/lab1.data/runs/runs.xml create mode 100755 planAhead_run_1/lab1.data/sources_1/chipscope.xml create mode 100755 planAhead_run_1/lab1.data/sources_1/ports.xml create mode 100755 planAhead_run_2/lab1.data/cache/ALU_ngc_c04f956c.edif create mode 100755 planAhead_run_2/lab1.data/constrs_1/fileset.xml create mode 100755 planAhead_run_2/lab1.data/runs/impl_1.psg create mode 100755 planAhead_run_2/lab1.data/runs/runs.xml create mode 100755 planAhead_run_2/lab1.data/sources_1/fileset.xml create mode 100755 planAhead_run_2/lab1.data/wt/webtalk_pa.xml create mode 100755 planAhead_run_2/lab1.ppr create mode 100755 planAhead_run_2/planAhead.jou create mode 100755 planAhead_run_2/planAhead.log create mode 100755 planAhead_run_2/planAhead_run.log diff --git a/ALU.bld b/ALU.bld index 6186a2d..2e3d732 100755 --- a/ALU.bld +++ b/ALU.bld @@ -5,7 +5,7 @@ Command Line: C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 ALU.ngc ALU.ngd -Reading NGO file "X:/My Documents/ec311/lab1/ALU.ngc" ... +Reading NGO file "X:/My Documents/ec311/ec311-lab1/ALU.ngc" ... Gathering constraint information from source properties... Done. @@ -27,7 +27,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 156564 kilobytes +Total memory usage is 154784 kilobytes Writing NGD file "ALU.ngd" ... Total REAL time to NGDBUILD completion: 4 sec diff --git a/ALU.cmd_log b/ALU.cmd_log index de0ed5a..4963564 100755 --- a/ALU.cmd_log +++ b/ALU.cmd_log @@ -8,3 +8,70 @@ map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -re par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf bitgen -intstyle ise -f ALU.ut ALU.ncd +sch2sym -intstyle ise -family spartan6 -w -refsym ALU {X:/My Documents/ec311/ec311-lab1/ALU.sch} {X:/My Documents/ec311/ec311-lab1/ALU.sym} +xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf +bitgen -intstyle ise -f ALU.ut ALU.ncd +sch2sym -intstyle ise -family spartan6 -w -refsym ALU {X:/My Documents/ec311/ec311-lab1/ALU.sch} {X:/My Documents/ec311/ec311-lab1/ALU.sym} +xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +sch2sym -intstyle ise -family spartan6 -w -refsym ALU {X:/My Documents/ec311/ec311-lab1/ALU.sch} {X:/My Documents/ec311/ec311-lab1/ALU.sym} +sch2sym -intstyle ise -family spartan6 -w -refsym ALU {X:/My Documents/ec311/ec311-lab1/ALU.sch} {X:/My Documents/ec311/ec311-lab1/ALU.sym} +xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf +bitgen -intstyle ise -f ALU.ut ALU.ncd +xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf +bitgen -intstyle ise -f ALU.ut ALU.ncd +xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf +bitgen -intstyle ise -f ALU.ut ALU.ncd +xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf +bitgen -intstyle ise -f ALU.ut ALU.ncd +xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf +bitgen -intstyle ise -f ALU.ut ALU.ncd +xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf +bitgen -intstyle ise -f ALU.ut ALU.ncd +xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf +bitgen -intstyle ise -f ALU.ut ALU.ncd +xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf +bitgen -intstyle ise -f ALU.ut ALU.ncd +xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab1/ALU.xst" -ofn "X:/My Documents/ec311/ec311-lab1/ALU.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALU.ucf -p xc6slx16-csg324-3 "ALU.ngc" ALU.ngd +map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALU_map.ncd ALU.ngd ALU.pcf +par -w -intstyle ise -ol high -mt off ALU_map.ncd ALU.ncd ALU.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALU.twx ALU.ncd -o ALU.twr ALU.pcf -ucf ALU.ucf +bitgen -intstyle ise -f ALU.ut ALU.ncd diff --git a/ALU.jhd b/ALU.jhd index 7beadb5..1b290b6 100755 --- a/ALU.jhd +++ b/ALU.jhd @@ -1,9 +1,9 @@ -MODULE ALU - SUBMODULE Divide - INSTANCE XLXI_1 - SUBMODULE Modulo - INSTANCE XLXI_2 - SUBMODULE Negate - INSTANCE XLXI_3 - SUBMODULE sev_seg_disp - INSTANCE XLXI_9 +MODULE ALU + SUBMODULE Divide + INSTANCE XLXI_1 + SUBMODULE Modulo + INSTANCE XLXI_2 + SUBMODULE Negate + INSTANCE XLXI_3 + SUBMODULE sev_seg_disp + INSTANCE XLXI_9 diff --git a/ALU.ncd b/ALU.ncd index be0b713..ca10a31 100755 --- a/ALU.ncd +++ b/ALU.ncd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6 -###6396:XlxV32DM 3fff 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###2448:XlxV32DM 3fff 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###1464:XlxV32DM 1cb8 5a0eNqtWU2SqzgMvpJlyWCSzauZC8xbzAHSaVh2VS9mRfXdRxagGLANxK9T1WmUT7Js/Xxymp40UEsdoRscUueInDHOsmTgp8F5tEiuQzSGBgduYPmBjrzbgGSlRW7Xtl7SLSo8M9bwc+9aY35+vqDvb+aG41cHN4D7f5252fu/friB8yMCvx6E7JVznj5lHcCO1wFk/SAh4PcI8doB78k5YqQ104q0009iHTpEIht8T+ihIpzsjre9RfNeLcHsr0UQPVBsVk/QyOhujSVHTwTG4gZLE3b+3E2+rbBL1NY6XnGiE51DQA5BW/zd54qPrK60Fh3iUzyTSSuLRZ2zubmy+Kfy2V/O5+GVzybO524I+UyJfA7rWPH6vXx+6V/L50mPtvlcRJsLaMDmEtpeQPs1livAJbF+zge3qqZ05dkM1io2W00zbtLZVxOgu1xNolOqjPDXOxU16ZUqpGj5gl5UJ3CqumD7vK2uwXB1dVJdbVRc9tmOoXVycZ0tDJcJFJ4orjU6IV+XcGSnzRb3okvS0MNnKD7mm0XZDopv0lCq7ISQeLFiE1ZeVFrnzVk7LrQr+YySpzzZ8SfsOLVjK06HtG2jeFaXfdMplenBHtKDq/aD5sa0tdFK07UyNtiMZndt9dmezQxp0lqLK7rdfv2iKQQRmjKolf06fqE1bfugmlMll0kQIkKBQ4KFiNbg8kml9bR2ZGRc9pvLrsOTmq28v47UqDRr0Gbt42b9kWzWk/kuMo9RIDCXHovrvHSfaccuzCE57XhynlPE5Dc+p6LJrNOeDmkX3QC2e2tEq4nuABiFP42OZycs2PavBI5tzyFPn/IuJsnbyMFuk/TZVVqwlfokmZFtuEJ8dNBwuxpylbP1Qh9NFZ01SmdYRa+t2qEqujdK9zX0anX4wCqSbpSkq7zR0QwKzbvhm38/E3XOxvmcja2905MkEtKMLTfjhzTjJmrGaKUZN8lm3K4Lnz+3ycJ/pWk/O5NrxN3hfKPzHf9m1DE+hdsd5ZVWYTOThjnkw9Kuy7x73ISP18ELE4VdTSJ4OLfgIdqs0ToT4eG8VaL54x2kKXs/r5oMAbTXVkw2caq2Mfl3XBnlHIdqP7DyVtUpfTQVjdYpfZRosa325zydgTZ+rKJ7UrqHCjtGaRGqviE4s6sz31i0Gi2cyQaZbEjI5hGRzZPGHdPkc7Qp3DDf9fXP3ftPfkszRfugcpt895qvJ2fvvsXT1MHdzlEijdJHHKU2F6X0v0yE92fL+Q7UzzuBQh9+P6raI6u+69pk8qUTyHmV39PnicwQ2xwrfHCoQEK1/FPh54u8CkGFj0aFToUfpEJU4dOqkFT4aVRoFyH//Pr192it5bu7913fPlnw12hH/xHQ/LKmv3/7z/vP6FsVDZOIsf+Mv3kD5v6b8XD/BhMevgHkKdgdwhvyG4P/BzdSodI= \ No newline at end of file +###6500:XlxV32DM 3fff 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###2516:XlxV32DM 3fff 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###1472:XlxV32DM 1c56 5a8eNq9WcuS6yYQ/SX6gYTsza3kB3IX+QA/pOVUzSIr1fx7GiThFgZJWKmMq/xApxto+hwaxhhjkTseGO3AAxk7WCO/e9sa8/PzBf1wMRcav9xwAbj+4/oLXv92zws0/UhASDcmfrC1jh9i66SttZ1/F89OXgODMRoj3wZupQ/iwVrLZMzUI6f2OaQlS4SMBSsbETaiuYiGiAholscpWuKCDPwMdkiw2M3YuZd3u4AmQXfx+dLHg0CwlGB5ws7PrX8uRho7cCfjSW1cxAUbFTOPHLx1mCcuHrRl9LqyWmyYZb6SFa+nYi0IK3Mpety0kXWs93jQRmexzupVpLCEyuX+YF65b3Tu353PfZvJfb/iGEb9OJD5wp8kL5V9DlvM58mO1/m8gzYVaKCmCo0pOssQiWFAmzeO5NHdgtbsK6BxHonJcBUzaFZcjeox888c5J/J8m9SpTr+BZstLvlvn3Bwstvi1KbnCjvFLDjER0h/v/ERhI9d4GOr6IgdjF6ahY5HqWSzC8XzkmS2orjEkLVM5XyxdHPy4WQZEwo+7MdUyIVVJIVduYBdtEnRM+0gS2mnKA0xCqWZJ3HKRthWzx1DrPMS20aEDYhcNnR1PWapn2wBmU0CdzcJe3ocFPKzPI58/2te+Gfkc6ZQ8O37CWs4F4W84ak94ImUJzzhybzK1J212vYzFZOTpylXP/U0rVUoHzb8tAdWrYurZmcBxSigTgvonbyAUlFArSJKI9VwP6dtHq0RuemZDfGrk4DmJTVzzQ9qh4cP++HEDj8UWawSWcyJbKxtIDNzXNU2oM5GcCRO86q+C6SS5E2BRF8TxiR8vkXrmYjb85C44f8ubiuanBC3RkmSPSVu/5VMshK3M5LklLThKWkzUdpwM3fyObNe8WecV03+2M2yYD/rt8qCbUs3izCJCN+CCDdahPuHF2E+XMViQb6mY8jnocUYWj61+7SRVnQqZSCmzBk/nUphOkNORalTftQFGa+EtHZmNpKKsn6OC1g6onN5SAdqX96pfUtnkZpxdCWBsO181ioVKljX9+zvyInDFKSlrexxOeOY3VMVFbbwdvf0Rur0RrsnQ1InQ9o9dZIqiKh67nm797N0Ye5HYpZcwX7QCy2XFyyyz0H2b/ouEe4jdeomMV9HwfIp74LarbuyuMyOSBW7J6ndk3b3QCqkeHNiU7HBOgjHyZqviZKJ+7HcjeIG4V+3icX5HI0/rm8vQ1LZmFR3lVSPdqQmW0i0Wc++v75wNfWKab95NcXp+lWubNwSTlWqadlRE4HSqMpzCv3sXt9MdR/dZKkgLNXyv4SfL3axEWLjrYmNHBvvHBttbHxgbKTY+DSxEZdG+fv1688RkS7U3NjR0EvDHyOOrvNoeaEZrt/ufv0ZnV2awExNgv1r/C0TMNff94uB6zcY/+MbIPzyfgf/wfIh4H8BQdWKPw== \ No newline at end of file diff --git a/ALU.ngc b/ALU.ngc index 3c55a01..294b6ac 100755 --- a/ALU.ngc +++ b/ALU.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$05e4=592K87LBZ3:CM4==FF9UDNXH<;@L2<>GI9VEIYK=4AO0;?DH5WFH^J>5NN2:8EK5XGK_M86OAD368EKB4<2KEH984A^KAQC79;BNH5=613JF@>:>:;BNH6]>5:AOOF723JF@H<;4CMIGRf=DDBN]SIGYIEG6?FJLNQ20OAEIX0:3=>EHEDC_XHJ8;BPFEQCC>2ITEO[I1:F1?A653M;97I<=;E124>BALBn~`nnS=;H31?L453@997D:=;H77?LDRN01BBDZ\T@VF7>OI^?1GCNEJD69OKBODIE>0@XZ9109N0Z7BWARB@D@W_@NV<>Kj}qUD=Khl|fdS4MnfvhjYJ]ZOYSLBZ2:L31>HFFM8>7COAD278JDHC<>1EIYY@RJ68JJT49;1Eijo{e^2\IPUBZVKGY<<4Ndebp`Y6WD_XI_QNLT31?Kc`i}oT>RCZSDP\EIS6:2Dnklzj_2]NQVCUWHF^==5AefcwaZKR[LXTMA[>;N:8K+11pmUG86AMUG18KW443FX8?6A]429LV07PICWE6>U6?2Y:SDLZF038WMTBOVOSX_OLT^LDG`=T@[OLS@GA_RKYA3=TG\XHI;5\T@VVW1=SQYOh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n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d3QFSER7 A^@BVf=_DQCT5"LPB@P`?]J_AV3$ORLNRb9[H]OX1&NTNL\j;YN[MZ?(PERBS>>j;YN[MZ?(PERBS>?j;YN[MZ?(PERBS>=j;YN[MZ?(PERBS>:j;YN[MZ?(PERBS>;j;YN[MZ?(PERBS>9j;YN[MZ?(PERBS>6j;YN[MZ?(PERBS>7j;YN[MZ?(PERBS9>j;YN[MZ?(PERBS9?j;YN[MZ?(PERBS9k4XMZJ[<)_DQCT99k4XMZJ[<)_DQCT98k4XMZJ[<)_DQCT9;k4XMZJ[<)_DQCT9:k4XMZJ[<)_DQCT95j4XMZJ[<)_DQCT:h5WLYK\=*^KP@U=h5WLYK\=*^KP@U=?h5WLYK\=*^KP@U=8h5WLYK\=*^KP@U=9h5WLYK\=*^KP@U=:h5WLYK\=*^KP@U=;h5WLYK\=*^KP@U=4h5WLYK\=*^KP@U=5i5WLYK\=*^KP@Uj6VCXH]:+]J_FV;:?k5WLYK\=*^KPGU:=9h4XMZJ[<)_DQDT=?;i;YN[MZ?(PERES<<9f:ZO\LY>'QFSBR?=8g9[H]OX1&RGTCQ>28d8\I^NW0%S@U@P122e?]J_AV3$TAVA_012b>^KP@U2#UBWN^371c=_DQCT5"VCXO]203`4k5WLYK\=*^KPGU::=h4XMZJ[<)_DQDT=;'QFSBR8>e:ZO\LY>'QFSBR8=e:ZO\LY>'QFSBR8'QFSBR8;e:ZO\LY>'QFSBR8:e:ZO\LY>'QFSBR7>e:ZO\LY>'QFSBR7=e:ZO\LY>'QFSBR7'QFSBR7;7:ZO\KY6:>1S@U@P2358\I^IW;9<7UBWN^073>^KPGU99:5WLYL\631;6VCXO]022=_DQDT?:94XMZM[6>03QFSBR=67:ZO\KY39<1SBB\=4:ZLV700:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90UH]:;`]lqqg7hQ`uuc8aZir|VEIYK;4f^mvpd=aWfSBLZF49d[jssi2mTcxzPOCWE53=tmUxijQkirr\IPUBZVKGY95|ifl:?vo`fVEIYK?>;ya5wi~6>$9&o~i<25CDu5c;2JKt?4I:382V42286882?k71i3<0(<87:7`8yV43284}T:<0::o4:6;30754>?39:?9?4vU46>5<628025v]=5;35f?312898h0?>6*:d;34a>d5290:>77<:8;xL3><,?=1>6*94;28 35=9?i0e<4?::ke>5<>o2n3:17d8>:188k6<722e>6=44o683>>i>2900c<8k:188k40a2900c<9>:188k4142900c<9::188k4102900c<96:188k41d2900n<88:182>5<7s-<<6>;4H045?M0?3f9?6=44}c336?6=93:12B=46a:c;29?xd0l3:187>50z&53?3>3A;=:6F98:k06<729q/::4:8:J223=O>11b?54?::k0=?6=3f?>6=44}c:1>5<5290;w)88:528L4013A<37d=8:188k03=831vn5?50;094?6|,?=18=5G1748L3>>{e?o0;6>4?:1y'222B=46g<8;29?l5>2900c8;50;9~f=2=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm7b83>0<729q/::4k;I352>N102c847>5;h1:>5<>i2=3:17pl7d;290?6=8r.=;7;6;I352>N102c847>5;h1:>5<>{e0o0;6?4?:1y'22<382B::;5G699j72<722e>97>5;|`:5?6=:3:12B=46g<7;29?j322900qo6j:187>5<7s-<<6n5G1748L3>>o4i3:17b;::188yg?729096=4?{%44>16<@8<=7E87;h14>5<11b?54?::k0=?6=3f?>6=44}c4g>5<3290;w)88:5d8L4013A<37d=7:188m6?=831b?l4?::m61?6=3th<97>54;294~"1?3?27E?96:J5<>o403:17d=6:188m6g=831d984?::a37<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17pl9f;290?6=8r.=;7m4H045?M0?3`936=44i2;94?=n;h0;66a:5;29?xd0;3:1>7>50z&53?273A;=:6F98:k03?6=3f?>6=44}c52>5<4290;w)88:c9K530<@?20e>650;9j7<<722e>97>5;|`44?6=;3:1N6>?1C:55f3683>>i2=3:17pln6;290?6=8r.=;7;6;I352>N102c847>5;h1:>5<>{ei=0;694?:1y'227<729q/::4;0:J223=O>11b?:4?::m61?6=3thj;7>52;294~"1?3>;7E?96:J5<>o4?3:17b;::188ygg4290?6=4?{%44>f=O9?<0D;64i2:94?=n;00;66g5<7s-<<69>4H045?M0?3`9<6=44o4794?=zjh?1<7:50;2x 31=k2B::;5G699j7=<722c857>5;h1b>5<650;9j7<<722c8m7>5;n76>5<=831b?44?::k0e?6=3f?>6=44}c;`>5<5290;w)88:528L4013A<37d=8:188k03=831vn4o50;094?6|,?=18=5G1748L3>>{e1?0;694?:1y'227<729q/::4;0:J223=O>11b?:4?::m61?6=3th247>54;294~"1?3i0D<89;I4;?l5?2900e>750;9j7d<722e>97>5;|`a`?6=;3:12B=46g<8;29?l5>2900c8;50;9~fg4=8391<7>t$7591==O9?<0D;64i2:94?=n;00;66a:5;29?xde13:187>50z&53?3>3A;=:6F98:k07<729q/::4;0:J223=O>11b?:4?::m61?6=3thi;7>54;294~"1?3i0D<89;I4;?l5?2900e>750;9j7d<722e>97>5;|`ag?6=:3:12B=46g<7;29?j322900qol9:181>5<7s-<<69>4H045?M0?3`9<6=44o4794?=zjk>1<7<50;2x 31=<91C=;84H7:8m61=831d984?::afd<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17plm0;290?6=8r.=;7m4H045?M0?3`936=44i2;94?=n;h0;66a:5;29?xde;3:197>50z&53?2c3A;=:6F98:k050z&53?b<@8<=7E87;h1;>5<>o4j3:17b;::188ygde29096=4?{%44>16<@8<=7E87;h14>5<11b?54?::k0=?6=3`9j6=44o4794?=zjjo1<7=50;2x 31==11C=;84H7:8m6>=831b?44?::m61?6=3thho7>53;294~"1?3h0D<89;I4;?l5?2900e>750;9l10<722wioi4?:583>5}#>>0?o6F>679K2==n;10;66g<9;29?l5f2900c8;50;9~ff`=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66smcc83>6<729q/::4>659K530<@?20e>650;9j7<<722e>97>5;|`f52;294~"1?3>;7E?96:J5<>o4?3:17b;::188ygc>29096=4?{%44>16<@8<=7E87;h14>5<650;9j7<<722e>97>5;|`e4?6=<3:12B=46g<8;29?l5>2900e>o50;9l10<722wiik4?:583>5}#>>0h7E?96:J5<>o403:17d=6:188m6g=831d984?::ab4<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17pli2;296?6=8r.=;7:?;I352>N102c8;7>5;n76>5<=831b?44?::m61?6=3thm?7>52;294~"1?3>;7E?96:J5<>o4?3:17b;::188ygcb29086=4?{%44>g=O9?<0D;64i2:94?=n;00;66a:5;29?xdc>3:1?7>50z&53?3?3A;=:6F98:k01<7=50;2x 31=j2B::;5G699j7=<722c857>5;n76>5<1<7>t$759g>N6>?1C:55f3983>>o413:17d=n:188k03=831vni750;094?6|,?=18=5G1748L3>>{elh0;6?4?:1y'22<382B::;5G699j72<722e>97>5;|`g3?6=<3:12B=46g<8;29?l5>2900e>o50;9l10<722wii<4?:383>5}#>>0?<6F>679K2==n;>0;66a:5;29?xdb;3:1?7>50z&53?2e3A;=:6F98:k0=831b?44?::k0e?6=3f?>6=44}c334?6=03;1;vF98:&53?233-9=6<>>;h0;>5<>o5j3:17d=<:188m0`=831b:<4?::m61?6=3k>>6=48:183!002=90D<89;I4;?!5a2;i0e>650;9j7<<722c8m7>5;h1a>5<>i2=3:17p}=8;296~X5027?97=l;|q1=?6=:rT9563;5;1a?xu5i3:1>vP=a:?71?5c3ty9n7>52z\1f>;3=3927p}:f;296~X2n27?97=7;|q55?6=:rT==63;5;1b?xu2=3:1>vP:5:?71?323twijh4?:982>2}O>11/::4;4:&02?`a3`836=44i3;94?=n:h0;66g=b;29?l542900e8h50;9j24<722e>97>5;c66>5<0290;w)88:518L4013A<37)=i:3a8m6>=831b?44?::k0e?6=3`9i6=44i2a94?=n;m0;66a:5;29?xu503:1>vP=8:?71?5d3ty957>52z\1=>;3=39i7p}=a;296~X5i27?97=k;|q1f?6=:rT9n63;5;1:?xu2n3:1>vP:f:?71?5?3ty==7>52z\55>;3=39j7p}:5;296~X2=27?97;:;|abf<7210:6:uG699'22<3<2.8:7hk;h0;>5<>o5j3:17d=<:188m0`=831b:<4?::m61?6=3k>>6=48:183!002=90D<89;I4;?!5a2;i0e>650;9j7<<722c8m7>5;h1a>5<>i2=3:17p}=8;296~X5027?97=l;|q1=?6=:rT9563;5;1a?xu5i3:1>vP=a:?71?5c3ty9n7>52z\1f>;3=3927p}:f;296~X2n27?97=7;|q55?6=:rT==63;5;1b?xu2=3:1>vP:5:?71?323twijl4?:982>2}O>11/::4;4:&02?`e3`836=44i3;94?=n:h0;66g=b;29?l542900e8h50;9j24<722e>97>5;c66>5<0290;w)88:518L4013A<37)=i:3a8m6>=831b?44?::k0e?6=3`9i6=44i2a94?=n;m0;66a:5;29?xu503:1>vP=8:?71?5d3ty957>52z\1=>;3=39i7p}=a;296~X5i27?97=k;|q1f?6=:rT9n63;5;1:?xu2n3:1>vP:f:?71?5?3ty==7>52z\55>;3=39j7p}:5;296~X2=27?97;:;|a562=8381<7>t$75955=O9?<0D;64i2594?=h=<0;66sm13d94?4=83:p(;95119K530<@?20e>950;9l10<722wi=?:50;094?6|,?=1==5G1748L3>>{e98k1<7<50;2x 31=991C=;84H7:8m61=831d984?::a57b=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm13g94?4=83:p(;95419K530<@?20e>950;9l10<722wi=>?50;094?6|,?=18=5G1748L3>>{e9::1<7<50;2x 31=<91C=;84H7:8m61=831d984?::a57d=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm12194?4=83:p(;95419K530<@?20e>950;9l10<722wi=?o50;094?6|,?=18=5G1748L3>>{e9;i1<7<50;2x 31=<91C=;84H7:8m61=831d984?::a54c=8391<7>t$7591==O9?<0D;64i2:94?=n;00;66a:5;29?xd6:;0;6>4?:1y'22=831b?44?::m61?6=3th:=i4?:483>5}#>>0>m6F>679K2==n;10;66g<9;29?l5f2900e>l50;9l10<722wi=<750;194?6|,?=1n6F>679K2==n;10;66g<9;29?j322900qo?>4;297?6=8r.=;7l4H045?M0?3`936=44i2;94?=h=<0;66sm11;94?5=83:p(;95b:J223=O>11b?54?::k0=?6=3f?>6=44}c311?6==3:12B=46g<8;29?l5>2900e>o50;9j7g<722e>97>5;|`266<72<0;6=u+6686e>N6>?1C:55f3983>>o413:17d=n:188m6d=831d984?::a547=83?1<7>t$7591d=O9?<0D;64i2:94?=n;00;66g16<@8<=7E87;h14>5<5<1290;w)88:4`8L4013A<37d=7:188m6?=831b?l4?::k0f?6=3`9h6=44o4794?=zj8;<6=4;:183!002<30D<89;I4;?l5?2900e>750;9j7d<722e>97>5;|`255<72;0;6=u+66874>N6>?1C:55f3683>>i2=3:17pl>2983>6<729q/::4m;I352>N102c847>5;h1:>5<5<4290;w)88:c9K530<@?20e>650;9j7<<722e>97>5;|`25g<72:0;6=u+668a?M71>2B=46g<8;29?l5>2900c8;50;9~f47?29086=4?{%44>g=O9?<0D;64i2:94?=n;00;66a:5;29?xd69<0;694?:1y'22950;9l10<722wi==o50;194?6|,?=1n6F>679K2==n;10;66g<9;29?j322900qo??8;290?6=8r.=;7m4H045?M0?3`936=44i2;94?=n;h0;66a:5;29?xd6:?0;6>4?:1y'22=831b?44?::k0e?6=3f?>6=44}c32b?6=<3:15}#>>0h7E?96:J5<>o403:17d=6:188m6g=831d984?::a55c=8381<7>t$75905=O9?<0D;64i2594?=h=<0;66sm11594?2=83:p(;95c:J223=O>11b?54?::k0=?6=3`9j6=44o4794?=zj8826=4<:183!002k1C=;84H7:8m6>=831b?44?::m61?6=3th:>=4?:583>5}#>>0h7E?96:J5<>o403:17d=6:188m6g=831d984?::a540=8391<7>t$759533<@8<=7E87;h1;>5<>{e9881<7=50;2x 31=9?>0D<89;I4;?l5?2900e>750;9l10<722wi==j50;094?6|,?=18=5G1748L3>>{e99i1<7=50;2x 31=j2B::;5G699j7=<722c857>5;n76>5<N6>?1C:55f3683>>i2=3:17pl=6;296?6=8r.=;7=j;I352>N102c8;7>5;n76>5<t$7597`=O9?<0D;64i2594?=h=<0;66sm6383>7<729q/::411b?:4?::m61?6=3th?6=4=:183!002<=0D<89;I4;?l502900c8;50;9~f3<72;0;6=u+66863>N6>?1C:55f3683>>i2=3:17pl7:181>5<7s-<<6894H045?M0?3`9<6=44o4794?=zjh0;6?4?:1y'22<2?2B::;5G699j72<722e>97>5;|`22`<72;0;6=u+66863>N6>?1C:55f3683>>i2=3:17pl>7183>7<729q/::4:7:J223=O>11b?:4?::m61?6=3th:;?4?:383>5}#>>0>;6F>679K2==n;>0;66a:5;29?xd6?=0;6?4?:1y'22<2?2B::;5G699j72<722e>97>5;|`233<72;0;6=u+66863>N6>?1C:55f3683>>i2=3:17pl>7983>7<729q/::4:7:J223=O>11b?:4?::m61?6=3th:;l4?:383>5}#>>0>;6F>679K2==n;>0;66a:5;29?xd6?m0;6?4?:1y'22<2?2B::;5G699j72<722e>97>5;|qf>5<6=r73>7=8;<5`>6><51o1?4526b80=>;f<39j70o<:2c89d3=;h165:4o4=c7972=:j>08m63m0;1b?8d42:h01o653`9>a=<4027nj7=n;6?<5l91?452f`81<>;b2:182<~;?939<709i:2;89=`=;>16:i464=6297==:i008;63n3;1:?8?d2:=01485389>ff<4?27i<7=6;<`0>6g<5k;1?l52cb80=>;dl39j70k9:2589c7=;>16h54<7:?g1?5?34o96>o4=ga96==:980>96s|2783>4?|5191?:527d80=>;0k392707>:2589=c=;h16:i4<9:?40?5034k<6>94=`197==:1h08;6366;1;?8d12:=01o:5369>fd<4?27i<7=7;6?<5jh1?452e8803>;a:39<70kk:2:89a?=;>16h:4<9:?f6?5>34ln6?64=34910=z{;n1<7?6{<:7>61<5>i1?o5291803>;1l393709=:25893`=;116:k4<9:?b6>64=8`972=:1108463m3;1;?8d62:201ol5369>f=<4027hj7=8;6><5l=1?452f2803>;bm39270jn:2589a1=;h16i?4<8:?245<50279h7;:;|q54?6==r7:<=4:f:?ea?3a34lh68h4=gc91c=:>90>96s|6383>0}:99:1:<52fd855>;ak3<:70hn:738934==<1v<;i:1818122?:3c8yv72m3:1>v370;76?8`b2;k0q~?:d;296~;?l3?>70hl:3c8yv72k3:1>v39c;76?8`f2;k0q~?90;296~;dm3?>70??0;0a?xu6>80;6?u2be861>;am38i7p}>6383>7}:i?0>963ic;0a?xu6>:0;6?u298861>;ai38i7p}>5883>7}:m>0>963ic;0:?xu6=h0;6?u2f1861>;am3827p}>5c83>7}:l?0>963>0181=>{t9<21<75<>s4lh68;4=031>6><58;=6>64=024>6><58:n6>94=03`>6g<58;m6>74=002>6?<588=6>74}r362?6=ir7mi7;:;<314?5?34;;47=n;<33e?5?34;;j7=8;<321?5f34;:47=6;<32f?5?34;9;7=6;<313ty:9:4?:6y>556==<16==953`9>54e=;016==65389>543=;016=<>5369>541=;11v94?:3y>54g==<1687=8;|q5>5<5s4;987;:;<4972=z{10;6?u213d910=:039<7p}n:181874<3?>70o5369~w40b2909w0?=a;76?871m39<7p}>7183>7}:9;h19852162972=z{8=96=4={<31g?3234;<>7=8;|q231<72;q6=?k5549>522=;>1v<99:181875l3?>70?86;14?xu6?10;6?u2122910=:9>21?:5rs05b>5<5s4;8=7;:;<34e?503ty:;i4?:3y>565==<16=:j5369~w4342903w0??2;7`?877839870hj:2189ce=;:16jl4<3:?25d<4?27:>94<7:?26c<4?2wx8l4?:3y>531=;=16=>:5369~w=?=838p1:j5549>3c<402wx4;4?:3y>3a<4i273>7;:;|q;g?6=:r73=7;:;<5`>6g6?<5>l1985rs9594?4|5>n1?55282861>{t0<0;6?u27e80=>;0m3?>7p}78;296~;0m393706;:478yv>e2909w06?:2:892e==<1v4=50;0x9=`==<164h4<8:p=0<72;q64i4<9:?:5?323ty2>7>52z?;`?5?342n68;4}r;7>5<5s42o6>o4=82910=z{?o1<7m0>96s|7783>7}:?;0>96380;1:?xu0i3:1>v385;1:?80a234<2=2wx;44?:3y>30<4i27<<7;:;|q4036?<5h>1985rs`d94?4|5h319852a480=>{tim0;6?u2a580=>;f?3?>7p}na;296~;f>39j70o<:478yvgb2909w0o;:2:89d>==<1vlm50;0x9d0=;116m84:5:p=`<72;q6544<9:?:3?323tyj>7>52z?:g?3234336>74}rc3>5<5s43<6>74=8c910=z{0n1<796s|a083>7}:1>084636b;76?xu>n3:1>v369;1;?8??2f<<2=2wxo84?:3y>f0<2=27i=7=m;|q`5?6=:r7i57=6;<`4>0303<5k21?45rsb494?4|5k<19852b080=>{tk=0;6?u2b5861>;e;3927p}l8;296~;e?39270ln:478yvdb2909w0l6:2c89g6==<1voh50;0x9g4=;016n>4:5:pg5<72;q6n?4<8:?a5?323tyh57>52z?a3?5?34hi68;4}ra4>5<5s4h26>64=c:910=z{m81<796s|d083>7}:kl08563ld;76?xuc;3:1>v3ld;1;?8ea2a2<402wxio4?:3y>a=<4127n:7;:;|qfe?6=:r7n47=n;036><5ll1985rsg594?4|5ll1?552f0861>{tn10;6>u2eg80=>;a:3?>70kj:2:8yv`22909w0h?:2c89`b==<1vk750;0x9`b=;016j>4:5:pb1<72;q6j=4<9:?fa?323tyn<7>52z?g2?5>34n?68;4}rf`>5<5s4n368;4=e597==z{mh1<796s|de83>7}:l<08563k9;76?xucm3:1>v3k5;1b?8bf2a6<402wxi84?:3y>a4<4?27n>7;:;|q203<72;q6=57b=;>1v<=k:181875;39370?=2;76?xu6=90;6?u210397d=:9891985rs066>5<5s4;:h7;:;<31a?503ty:?44?:2y>54?==<16=54c=;11v<;>:181876939270?>4;76?xu65<5s4;997;:;<305?503ty:8:4?:3y>575==<16=>>5369~w4242909w0?>1;76?875j39<7p}>4883>7}:9:819852121972=z{8>96=4={<33f?3234;9m7=8;|q201<72;q6=<95549>57e=;>1v<>9:18b875139370?=1;1;?877i39270?>8;1;?875?39370?>0;76?877139270?>9;1;?876;39370?=2;1;?xu6<90;6?u213:910=:9;?1?45rs01e>5<5s4;9;7;:;<311?5f3ty:?;4?:3y>54d==<16=8;76?876l39i70?>e;1:?xu6=;0;6?u2107910=:98;1?55rs026>5<>s4;;;7=6;<32g?5?34;:j7=7;<312?5?34;;j7;:;<323?5>34;:87=6;<327?5>34;9>7=6;|q20a<72;q6==o5549>55d=;01v<:m:18187703?>70??b;1a?xu6;l0;6?u2134910=:9;?1?o5rs01`>5<5s4;9=7;:;<317?5>3ty:?l4?:3y>54`==<16=?=53c9~w4502909w0?>c;76?876l3937p}>0583>=}:99i1?55213297<=:99o1985210`97<=:9;21?55211;97==:98>1?55210;97<=z{8>j6=4={<333?3234;;n7=l;|q204<72;q6=?75549>573=;11v<=m:18187583?>70?=3;1b?xu6;<0;6?u2104910=:98=1?l5rs06e>5<5s4;:>7;:;<325?5e3ty:<>4?:7y>55b==<16=577=;h16==65399>543=;116=><5369~w42b2909w0??c;76?877j3937p}>:181[7<5l08;6s|f;296~Xa34;:6>94}r31>5<5sW;970<9:258yv402909wS<8;<0g>61:181[0634<96>94}r194?4|V:1687;:;|q6>5<5sW?01;4:5:p3?6=:rT<7065549~w<<72;qU563n:478yv71l3:1>vP>6e9>53c==<1v<8i:181[71n27:;=4:5:p527=838pR<9>;<346?323ty:;>4?:3y]525<58=?68;4}r341?6=:rT:;852164910=z{8=<6=4={_343>;6?10>96s|16;94?4|V8=270?8a;76?xu6?j0;6?uQ16a8941c27}O>11vb?o=:181M0?3td9m>4?:3yK2==zf;k?6=4={I4;?xh5i<0;68uG699~j7g1290>wE87;|l1e2<72;qC:55rn3c;>5<5sA<37p`=a883>7}O>11vb?on:181M0?3td9mo4?:3yK2==zf;kh6=4={I4;?xh5im0;6?uG699~j7gb2909wE87;|l1ec<72;qC:55rn3`3>5<5sA<37p`=b083>7}O>11vb?l=:181M0?3td9n>4?:3yK2==zf;h?6=4={I4;?xh5j<0;6?uG699~j7d12909wE87;|l1f2<728qC:55rn3`;>5<6sA<37p`=b883>4}O>11vb?ln:182M0?3td9no4?:0yK2==zf;hh6=4>{I4;?xh5jm0;65<6sA<37p`=c083>4}O>11vb?m=:182M0?3td9o>4?:0yK2==zf;i?6=4>{I4;?xh5k<0;65<6sA<37p`=c883>4}O>11vb?mn:182M0?3td9oo4?:0yK2==zf;ih6=4>{I4;?xh5km0;65<6sA<37p`=d083>4}O>11vb?j=:182M0?3td9h>4?:0yK2==zf;n?6=4>{I4;?xh5l<0;65<6sA<37p`=d883>4}O>11vb?jn:182M0?3td9ho4?:0yK2==zf;nh6=4>{I4;?xh5lm0;65<6sA<37p`=e083>4}O>11vb?k=:182M0?3td9i>4?:0yK2==zf;o?6=4>{I4;?xh5m<0;65<6sA<37p`=e883>4}O>11vb?kn:182M0?3td9io4?:0yK2==zf;oh6=4>{I4;?xh5mm0;65<6sA<37p`=f083>4}O>11vb?h=:182M0?3td9j>4?:0yK2==zf;l?6=4>{I4;?xh5n<0;65<6sA<37p`=f883>4}O>11vb?hn:182M0?3td9jo4?:0yK2==zf;lh6=4>{I4;?xh5nm0;65<6sA<37p`<0083>4}O>11vb>>=:182M0?3td8<>4?:0yK2==zf::?6=4>{I4;?xh48<0;65<6sA<37p`<0883>4}O>11vb>>n:182M0?3td8{I4;?xh48m0;65<6sA<37p`<1083>4}O>11vb>?=:182M0?3td8=>4?:0yK2==zf:;?6=4>{I4;?xh49<0;65<6sA<37p`<1883>4}O>11vb>?n:182M0?3td8=o4?:0yK2==zf:;h6=4>{I4;?xh49m0;65<6sA<37p`<2083>4}O>11vb><=:182M0?3td8>>4?:0yK2==zf:8?6=4>{I4;?xh4:<0;65<6sA<37p`<2883>4}O>11vb>o4?:0yK2==zf:8h6=4>{I4;?xh4:m0;65<6sA<37p`<3083>4}O>11vb>==:182M0?3td8?>4?:0yK2==zf:9?6=4>{I4;?xh4;<0;65<6sA<37p`<3883>4}O>11vqpsO@By0`6;oikr@A@x4xFGXrwKL \ No newline at end of file +$0:g4=592K87LBZ3:CM4==FF9UDNXH<;@L2<>GI9VEIYK=4AO0;?DH5WFH^J>5NN2:8EK5XGK_M86OAD368EKB4<2KEH984A^KAQC79;BNH5=613JF@>:>:;BNH6]>5:AOOF723JF@H<;4CMIGRf=DDBN]SIGYIEG6?FJLNQ20OAEIX0:3=>EHEDC_XHJ8;BPFEQCC>2ITEO[I1:F1?A653M;97I<=;E124>BALBn~`nnS=;H31?L453@997D:=;H77?LDRN01BBDZ\T@VF7>OI^?1GCNEJD69OKBODIE>0@XZ9109N0Z7BWARB@D@W_@NV<>Kj}qUD=Khl|fdS4MnfvhjYJ]ZOYSLBZ2:L33>HB\^EYG<<4Ndebp`Y7WD_XI_QNLT31?Kc`i}oT=RCZSDP\EIS6:2Dnklzj_3]NQVCUWHF^=?5AefcwaZ5XE\YN^ROCU028J`af|lUFY^K]_@NV5>I?3F$<:ujPL59LFP@43FX9?6A]329LV15VTLFDN>6]?7:Q3[LDRN;1X=:5\1^KAQC763ZBYIJQJXUPBGQYIOJo0_E\JG^OJJZUNRL<0_B[]CD48WQGS]Z>0XT^Jc:WPAWYQAZCI@H=4VBA:?SOB_V^R\H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED?:;YN[MZ7(PERBS<> XMZJ[7723QFSER? XMZJ[46(PERBS9?:;YN[MZ7(PERBS<> XMZJ[3723QFSER? XMZJ[46(PERBS:?:;YN[MZ7(PERBS<> XMZJ[=713QFSER? XMZJ[46(PERES<=>6:ZO\LY6'QFSER??/YN[JZ739?1S@UGP1.ZO\LY68&RGTCQ>5078\I^NW8%S@UGP11-[H]HX:8?0TAVF_0-[H]OX9;%S@UGP1078\I^NW8%S@UGP13-[H]OX:8?0TAVF_0-[H]OX9;%S@UGP3078\I^NW8%S@UGP13-[H]OX=8?0TAVF_0-[H]OX9;%S@UGP6078\I^NW8%S@UGP13-[H]OX?8?0TAVF_0-[H]OX9;%S@UGP9048\I^NW8%S@UGP13-[H]HX9;;>7UBWI^3,\I^NW88$TAVA_336?]J_AV;$TAVF_00,\I^IW:;>7UBWI^3,\I^NW88$TAVA_536?]J_AV;$TAVF_00,\I^IW<;>7UBWI^3,\I^NW88$TAVA_737?]J_AV;$TAVF_9-[H]OX98>0TAVF_0-[H]OX0&RGTDQ=159[H]OX9&RGTDQ7/YN[MZ56<2RGTDQ>/YN[MZ>(PERBS9?;;YN[MZ7(PERBS5!WLYK\142^KP@U:#UBWI^:,\I^NW1;?7UBWI^3,\I^NW1%S@UGP9068\I^NW8%S@UGP8.ZO\KY69<1S@UGP1.ZO\LY?'QFSBR?<149[H]OX9&RGTDQ7/YN[JZ719<1S@UGP1.ZO\LY?'QFSBR?8159[H]OX9&RGTDQ7/YN[JZ46<2RGTDQ>/YN[MZ>(PERES9?;;YN[MZ7(PERBS5!WLYL\142=85WLYK\5*^KP@U2#UBWI^3550=_DQCT="VCXH]:+]J_FV;8=85WLYK\5*^KP@U2#UBWN^3750=_DQCT="VCXH]:+]J_FV;>=95WLYK\5*^KP@U2#UBWN^;20>^KP@U9#UBWI^3,\I^NW8;?7UBWI^0,\I^NW8%S@UGP2068\I^NW;%S@UGP1.ZO\LY49=1S@UGP2.ZO\LY6'QFSER:>4:ZO\LY5'QFSER? XMZJ[0733QFSER< XMZJ[4)_DQCT:<:4XMZ