//////////////////////////////////////////////////////////////////////////////// // Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. //////////////////////////////////////////////////////////////////////////////// // ____ ____ // / /\/ / // /___/ \ / Vendor: Xilinx // \ \ \/ Version : 13.3 // \ \ Application : sch2hdl // / / Filename : Divide.vf // /___/ /\ Timestamp : 02/16/2012 19:28:10 // \ \ / \ // \___\/\___\ // //Command: sch2hdl -intstyle ise -family spartan6 -verilog "X:/My Documents/ec311/ec311-lab1/Divide.vf" -w "X:/My Documents/ec311/ec311-lab1/Divide.sch" //Design Name: Divide //Device: spartan6 //Purpose: // This verilog netlist is translated from an ECS schematic.It can be // synthesized and simulated, but it should not be modified. // `timescale 1ns / 1ps module Divide_1_MUSER_Divide(b0, b1, b2, b3, result); input b0; input b1; input b2; input b3; output result; wire XLXN_1; wire XLXN_2; wire XLXN_4; wire XLXN_5; wire XLXN_8; wire XLXN_13; wire XLXN_16; wire XLXN_17; AND4 XLXI_1 (.I0(b3), .I1(b1), .I2(XLXN_8), .I3(b0), .O(XLXN_5)); OR3 XLXI_2 (.I0(XLXN_16), .I1(XLXN_1), .I2(XLXN_13), .O(XLXN_2)); AND2 XLXI_3 (.I0(XLXN_17), .I1(b1), .O(XLXN_1)); AND2 XLXI_4 (.I0(XLXN_2), .I1(b2), .O(XLXN_4)); OR2 XLXI_5 (.I0(XLXN_5), .I1(XLXN_4), .O(result)); INV XLXI_6 (.I(b2), .O(XLXN_8)); INV XLXI_7 (.I(b3), .O(XLXN_13)); INV XLXI_8 (.I(b1), .O(XLXN_16)); INV XLXI_9 (.I(b0), .O(XLXN_17)); endmodule `timescale 1ns / 1ps module Divide_2_MUSER_Divide(b0, b1, b2, b3, result); input b0; input b1; input b2; input b3; output result; wire XLXN_9; wire XLXN_13; wire XLXN_14; wire XLXN_15; AND2 XLXI_10 (.I0(XLXN_9), .I1(b3), .O(result)); OR3 XLXI_12 (.I0(XLXN_15), .I1(XLXN_14), .I2(XLXN_13), .O(XLXN_9)); INV XLXI_13 (.I(b0), .O(XLXN_13)); INV XLXI_15 (.I(b1), .O(XLXN_14)); INV XLXI_16 (.I(b2), .O(XLXN_15)); endmodule `timescale 1ns / 1ps module Divide_3_MUSER_Divide(b0, b1, b2, b3, result); input b0; input b1; input b2; input b3; output result; wire XLXN_2; wire XLXN_13; wire XLXN_14; wire XLXN_15; AND2 XLXI_2 (.I0(XLXN_2), .I1(b3), .O(result)); OR3 XLXI_4 (.I0(XLXN_15), .I1(XLXN_14), .I2(XLXN_13), .O(XLXN_2)); INV XLXI_6 (.I(b2), .O(XLXN_13)); INV XLXI_7 (.I(b1), .O(XLXN_14)); INV XLXI_8 (.I(b0), .O(XLXN_15)); endmodule `timescale 1ns / 1ps module Divide_0_MUSER_Divide(b0, b1, b2, b3, result); input b0; input b1; input b2; input b3; output result; wire XLXN_2; wire XLXN_3; wire XLXN_4; wire XLXN_5; wire XLXN_6; wire XLXN_12; AND3 XLXI_1 (.I0(b0), .I1(b3), .I2(XLXN_2), .O(XLXN_5)); AND2 XLXI_2 (.I0(b1), .I1(XLXN_12), .O(XLXN_4)); AND2 XLXI_3 (.I0(b1), .I1(XLXN_3), .O(XLXN_6)); INV XLXI_5 (.I(b1), .O(XLXN_2)); INV XLXI_6 (.I(b0), .O(XLXN_3)); OR3 XLXI_7 (.I0(XLXN_6), .I1(XLXN_5), .I2(XLXN_4), .O(result)); INV XLXI_9 (.I(b3), .O(XLXN_12)); endmodule `timescale 1ns / 1ps module Divide(b0, b1, b2, b3, out0, out1, out2, out3); input b0; input b1; input b2; input b3; output out0; output out1; output out2; output out3; Divide_1_MUSER_Divide XLXI_8 (.b0(b0), .b1(b1), .b2(b2), .b3(b3), .result(out1)); Divide_2_MUSER_Divide XLXI_9 (.b0(b0), .b1(b1), .b2(b2), .b3(b3), .result(out2)); Divide_3_MUSER_Divide XLXI_10 (.b0(b0), .b1(b1), .b2(b2), .b3(b3), .result(out3)); Divide_0_MUSER_Divide XLXI_12 (.b0(b0), .b1(b1), .b2(b2), .b3(b3), .result(out0)); endmodule