Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
Software Version and Target Device
Product Version: ISE:13.3 (ISE) - O.76xd Target Family: Spartan6
OS Platform: NT64 Target Device: xc6slx16
Project ID (random number) 6a162e1ea80e42df9d06b05d72bff062.CFA30C52A63E43D8A2FABC7B29B0C236.11 Target Package: csg324
Registration ID __174135685_174135686_174419191 Target Speed: -3
Date Generated 2012-02-16T21:16:36 Tool Flow ISE
 
User Environment
OS Name Microsoft Windows 7 , 64-bit OS Release Service Pack 1 (build 7601)
CPU Name Intel(R) Core(TM)2 Duo CPU E8200 @ 2.66GHz CPU Speed 2660 MHz
OS Name Microsoft Windows 7 , 64-bit OS Release Service Pack 1 (build 7601)
CPU Name Intel(R) Core(TM)2 Duo CPU E8200 @ 2.66GHz CPU Speed 2660 MHz
 
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
Adders/Subtractors=42
  • 2-bit adder=2
  • 32-bit adder=34
  • 4-bit adder=6
Comparators=38
  • 32-bit comparator lessequal=31
  • 33-bit comparator lessequal=1
  • 34-bit comparator lessequal=1
  • 4-bit comparator lessequal=3
  • 5-bit comparator lessequal=1
  • 6-bit comparator lessequal=1
Multiplexers=1046
  • 1-bit 2-to-1 multiplexer=1040
  • 2-bit 2-to-1 multiplexer=2
  • 32-bit 2-to-1 multiplexer=2
  • 4-bit 2-to-1 multiplexer=1
  • 4-bit 4-to-1 multiplexer=1
MiscellaneousStatistics
  • AGG_BONDED_IO=18
  • AGG_IO=18
  • AGG_LOCED_IO=18
  • AGG_SLICE=268
  • NUM_BONDED_IOB=18
  • NUM_BSLUTONLY=860
  • NUM_BSUSED=860
  • NUM_LOCED_IOB=18
  • NUM_LOGIC_O5ANDO6=494
  • NUM_LOGIC_O5ONLY=1
  • NUM_LOGIC_O6ONLY=365
  • NUM_SLICEL=140
  • NUM_SLICEX=128
  • NUM_SLICE_CARRY4=135
  • NUM_SLICE_CYINIT=1386
  • NUM_SLICE_F7MUX=5
  • NUM_SLICE_UNUSEDCTRL=268
NetStatistics
  • NumNets_Active=1045
  • NumNets_Gnd=1
  • NumNets_Vcc=1
  • NumNodesOfType_Active_BOUNCEACROSS=9
  • NumNodesOfType_Active_BOUNCEIN=111
  • NumNodesOfType_Active_DOUBLE=1667
  • NumNodesOfType_Active_GENERIC=20
  • NumNodesOfType_Active_INPUT=103
  • NumNodesOfType_Active_IOBIN2OUT=14
  • NumNodesOfType_Active_IOBOUTPUT=14
  • NumNodesOfType_Active_LUTINPUT=4121
  • NumNodesOfType_Active_OUTBOUND=943
  • NumNodesOfType_Active_OUTPUT=1035
  • NumNodesOfType_Active_PADINPUT=8
  • NumNodesOfType_Active_PADOUTPUT=6
  • NumNodesOfType_Active_PINBOUNCE=264
  • NumNodesOfType_Active_PINFEED=4129
  • NumNodesOfType_Active_QUAD=585
  • NumNodesOfType_Active_REGINPUT=6
  • NumNodesOfType_Active_SINGLE=2056
  • NumNodesOfType_Gnd_BOUNCEIN=16
  • NumNodesOfType_Gnd_GENERIC=1
  • NumNodesOfType_Gnd_HGNDOUT=16
  • NumNodesOfType_Gnd_IOBIN2OUT=1
  • NumNodesOfType_Gnd_IOBOUTPUT=1
  • NumNodesOfType_Gnd_OUTBOUND=1
  • NumNodesOfType_Gnd_OUTPUT=1
  • NumNodesOfType_Gnd_PADINPUT=1
  • NumNodesOfType_Gnd_PINBOUNCE=16
  • NumNodesOfType_Gnd_PINFEED=1
  • NumNodesOfType_Gnd_REGINPUT=16
  • NumNodesOfType_Gnd_SINGLE=1
  • NumNodesOfType_Vcc_GENERIC=3
  • NumNodesOfType_Vcc_HVCCOUT=132
  • NumNodesOfType_Vcc_IOBIN2OUT=3
  • NumNodesOfType_Vcc_IOBOUTPUT=3
  • NumNodesOfType_Vcc_KVCCOUT=20
  • NumNodesOfType_Vcc_LUTINPUT=494
  • NumNodesOfType_Vcc_PADINPUT=3
  • NumNodesOfType_Vcc_PINBOUNCE=57
  • NumNodesOfType_Vcc_PINFEED=497
  • NumNodesOfType_Vcc_REGINPUT=57
SiteStatistics
  • IOB-IOBM=8
  • IOB-IOBS=10
  • SLICEL-SLICEM=62
  • SLICEX-SLICEL=6
  • SLICEX-SLICEM=12
SiteSummary
  • CARRY4=135
  • HARD0=20
  • HARD1=12
  • IOB=18
  • IOB_IMUX=6
  • IOB_INBUF=6
  • IOB_OUTBUF=12
  • LUT5=495
  • LUT6=859
  • PAD=18
  • SELMUX2_1=5
  • SLICEL=140
  • SLICEX=128
 
Configuration Data
IOB_OUTBUF
  • DRIVEATTRBOX=[12:12]
  • SLEW=[SLOW:12]
  • SUSPEND=[3STATE:12]
 
Pin Data
CARRY4
  • CIN=103
  • CO0=6
  • CO1=2
  • CO3=107
  • CYINIT=32
  • DI0=128
  • DI1=117
  • DI2=109
  • DI3=105
  • O0=115
  • O1=110
  • O2=105
  • O3=100
  • S0=135
  • S1=124
  • S2=117
  • S3=112
HARD0
  • 0=20
HARD1
  • 1=12
IOB
  • I=6
  • O=12
  • PAD=18
IOB_IMUX
  • I=6
  • OUT=6
IOB_INBUF
  • OUT=6
  • PAD=6
IOB_OUTBUF
  • IN=12
  • OUT=12
LUT5
  • A1=165
  • A2=164
  • A3=167
  • A4=135
  • A5=136
  • O5=495
LUT6
  • A1=424
  • A2=600
  • A3=832
  • A4=845
  • A5=849
  • A6=849
  • O6=859
PAD
  • PAD=18
SELMUX2_1
  • 0=5
  • 1=5
  • OUT=5
  • S0=5
SLICEL
  • A=2
  • A1=78
  • A2=100
  • A3=132
  • A4=135
  • A5=135
  • A6=135
  • AMUX=121
  • AX=15
  • B=4
  • B1=94
  • B2=97
  • B3=124
  • B4=125
  • B5=126
  • B6=126
  • BMUX=113
  • BX=30
  • C=3
  • C1=77
  • C2=84
  • C3=121
  • C4=122
  • C5=122
  • C6=122
  • CIN=103
  • CMUX=110
  • COUT=103
  • CX=20
  • D=4
  • D1=86
  • D2=90
  • D3=118
  • D4=119
  • D5=119
  • D6=118
  • DMUX=108
  • DX=14
SLICEX
  • A=101
  • A1=57
  • A2=99
  • A3=101
  • A4=101
  • A5=101
  • A6=101
  • AMUX=11
  • B=94
  • B1=47
  • B2=86
  • B3=90
  • B4=94
  • B5=94
  • B6=94
  • BMUX=30
  • C=79
  • C1=42
  • C2=77
  • C3=77
  • C4=78
  • C5=79
  • C6=79
  • CMUX=14
  • D=74
  • D1=52
  • D2=70
  • D3=71
  • D4=72
  • D5=74
  • D6=74
  • DMUX=50
 
Tool Usage
Command Line History
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx16-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx16-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx16-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx16-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx16-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx16-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx16-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx16-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx16-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx16-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx16-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
 
Software Quality
Run Statistics
Program NameRuns StartedRuns FinishedErrorsFatal ErrorsInternal ErrorsExceptionsCore Dumps
_impact 5 4 0 0 0 0 0
bitgen 22 22 0 0 0 0 0
map 22 22 0 0 0 0 0
ngc2edif 8 8 0 0 0 0 0
ngdbuild 22 22 0 0 0 0 0
par 21 21 0 0 0 0 0
trce 21 21 0 0 0 0 0
xst 23 23 0 0 0 0 0
 
Help Statistics
Help files
/doc/usenglish/isehelp/sse_c_overview.htm ( 2 )
 
Project Statistics
PROP_Enable_Message_Filtering=false PROP_FitterReportFormat=HTML
PROP_LastAppliedGoal=Balanced PROP_LastAppliedStrategy=Xilinx Default (unlocked)
PROP_ManualCompileOrderImp=false PROP_OverwriteSym=true
PROP_PropSpecInProjFile=Store all values PROP_Simulator=ISim (VHDL/Verilog)
PROP_SynthTopFile=changed PROP_Top_Level_Module_Type=HDL
PROP_UseSmartGuide=false PROP_UserConstraintEditorPreference=Text Editor
PROP_intProjectCreationTimestamp=2012-02-15T15:29:59 PROP_intWbtProjectID=CFA30C52A63E43D8A2FABC7B29B0C236
PROP_intWbtProjectIteration=11 PROP_intWorkingDirLocWRTProjDir=Same
PROP_intWorkingDirUsed=No PROP_lockPinsUcfFile=changed
PROP_xilxBitgCfg_GenOpt_ReadBack=true PROP_xilxBitgStart_Clk=JTAG Clock
PROP_AutoTop=true PROP_DevFamily=Spartan6
PROP_DevDevice=xc6slx16 PROP_DevFamilyPMName=spartan6
PROP_DevPackage=csg324 PROP_Synthesis_Tool=XST (VHDL/Verilog)
PROP_DevSpeed=-3 PROP_PreferredLanguage=Verilog
FILE_SCHEMATIC=2 FILE_UCF=1
FILE_VERILOG=1
 
Unisim Statistics
XST_UNISIM_SUMMARY
XST_NUM_AND2=1 XST_NUM_AND3=1 XST_NUM_BUF=1 XST_NUM_GND=1
XST_NUM_INV=1 XST_NUM_OR2=1 XST_NUM_OR3=1 XST_NUM_OR4=1
XST_NUM_OR5=1 XST_NUM_VCC=1 XST_NUM_XNOR2=1 XST_NUM_XOR2=1
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_AND2=13 NGDBUILD_NUM_AND3=7 NGDBUILD_NUM_BUF=4 NGDBUILD_NUM_GND=1
NGDBUILD_NUM_IBUF=6 NGDBUILD_NUM_INV=13 NGDBUILD_NUM_LUT2=4 NGDBUILD_NUM_LUT3=267
NGDBUILD_NUM_LUT4=35 NGDBUILD_NUM_LUT5=519 NGDBUILD_NUM_LUT6=183 NGDBUILD_NUM_MUXCY=468
NGDBUILD_NUM_MUXF7=5 NGDBUILD_NUM_OBUF=12 NGDBUILD_NUM_OR2=1 NGDBUILD_NUM_OR3=1
NGDBUILD_NUM_OR4=4 NGDBUILD_NUM_OR5=1 NGDBUILD_NUM_VCC=1 NGDBUILD_NUM_XNOR2=1
NGDBUILD_NUM_XOR2=1 NGDBUILD_NUM_XORCY=430
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_AND2=13 NGDBUILD_NUM_AND3=7 NGDBUILD_NUM_BUF=4 NGDBUILD_NUM_GND=1
NGDBUILD_NUM_IBUF=6 NGDBUILD_NUM_INV=13 NGDBUILD_NUM_LUT2=4 NGDBUILD_NUM_LUT3=267
NGDBUILD_NUM_LUT4=35 NGDBUILD_NUM_LUT5=519 NGDBUILD_NUM_LUT6=183 NGDBUILD_NUM_MUXCY=468
NGDBUILD_NUM_MUXF7=5 NGDBUILD_NUM_OBUF=12 NGDBUILD_NUM_OR2=1 NGDBUILD_NUM_OR3=1
NGDBUILD_NUM_OR4=4 NGDBUILD_NUM_OR5=1 NGDBUILD_NUM_VCC=1 NGDBUILD_NUM_XNOR2=1
NGDBUILD_NUM_XOR2=1 NGDBUILD_NUM_XORCY=430
 
XST Command Line Options
XST_OPTION_SUMMARY
-ifn=<fname>.prj -ofn=<design_top> -ofmt=NGC -p=xc6slx16-3-csg324
-top=<design_top> -opt_mode=Speed -opt_level=1 -power=NO
-iuc=NO -keep_hierarchy=No -netlist_hierarchy=As_Optimized -rtlview=Yes
-glob_opt=AllClockNets -read_cores=YES -write_timing_constraints=NO -cross_clock_analysis=NO
-bus_delimiter=<> -slice_utilization_ratio=100 -bram_utilization_ratio=100 -dsp_utilization_ratio=100
-reduce_control_sets=Auto -fsm_extract=YES -fsm_encoding=Auto -safe_implementation=No
-fsm_style=LUT -ram_extract=Yes -ram_style=Auto -rom_extract=Yes
-shreg_extract=YES -rom_style=Auto -auto_bram_packing=NO -resource_sharing=YES
-async_to_sync=NO -use_dsp48=Auto -iobuf=YES -max_fanout=100000
-bufg=16 -register_duplication=YES -register_balancing=No -optimize_primitives=NO
-use_clock_enable=Auto -use_sync_set=Auto -use_sync_reset=Auto -iob=Auto
-equivalent_register_removal=YES -slice_utilization_ratio_maxmargin=5