From 1a28b82559b9fe09de7f18249d0ca4870fa9c501 Mon Sep 17 00:00:00 2001 From: Michael Abed Date: Wed, 21 Mar 2012 13:44:29 -0400 Subject: Adjust timings for real board --- CountdownController.v | 14 +++++++------- CountdownController_summary.html | 4 ++-- _xmsgs/pn_parser.xmsgs | 2 +- iseconfig/CountdownController.xreport | 2 +- iseconfig/lab4.projectmgr | 7 +++---- lab4.gise | 3 +-- 6 files changed, 15 insertions(+), 17 deletions(-) diff --git a/CountdownController.v b/CountdownController.v index b06fa4b..ac50bf0 100644 --- a/CountdownController.v +++ b/CountdownController.v @@ -50,18 +50,18 @@ wire [3:0] ado, bdo, cdo; wire [7:0] init; wire [7:0] tout; -ClockDivider dbc(.count(100), .rst(rst), .clk_in(clk), .clk_out(dbclk)); -ClockDivider sec(.count(100), .rst(rst), .clk_in(clk), .clk_out(seconds)); -ClockDivider dcc(.count(50), .rst(rst), .clk_in(clk), .clk_out(dispclk)); +ClockDivider dbc(.count(1_000_000), .rst(rst), .clk_in(clk), .clk_out(dbclk)); +ClockDivider sec(.count(100_000_000), .rst(rst), .clk_in(clk), .clk_out(seconds)); +ClockDivider dcc(.count(7_500_000), .rst(rst), .clk_in(clk), .clk_out(dispclk)); debouncer dbA(.dout(a), .din(btnA), .rst(rst), .clk_1M(dbclk)); debouncer dbB(.dout(b), .din(btnB), .rst(rst), .clk_1M(dbclk)); -//Increment inc1(.value(ad), .btn(a)); -//Increment inc2(.value(bd), .btn(b)); +Increment inc1(.value(ad), .btn(a)); +Increment inc2(.value(bd), .btn(b)); -Increment inc1(.value(ad), .btn(btnA)); -Increment inc2(.value(bd), .btn(btnB)); +//Increment inc1(.value(ad), .btn(btnA)); +//Increment inc2(.value(bd), .btn(btnB)); BCD2Bin bcd2b(.hun(0), .ten(ad), .one(bd), .bin(init)); diff --git a/CountdownController_summary.html b/CountdownController_summary.html index 8dcf2d2..b9ce724 100644 --- a/CountdownController_summary.html +++ b/CountdownController_summary.html @@ -72,9 +72,9 @@  
- +
Secondary Reports [-]
Report NameStatusGenerated
ISIM Simulator LogCurrentTue Mar 20 18:13:57 2012
ISIM Simulator LogCurrentWed Mar 21 13:14:04 2012
-
Date Generated: 03/21/2012 - 11:25:15
+
Date Generated: 03/21/2012 - 13:43:14
\ No newline at end of file diff --git a/_xmsgs/pn_parser.xmsgs b/_xmsgs/pn_parser.xmsgs index 009b8f5..16c15fd 100644 --- a/_xmsgs/pn_parser.xmsgs +++ b/_xmsgs/pn_parser.xmsgs @@ -8,7 +8,7 @@ -Analyzing Verilog file "/home/michael/Documents/School/EC311/lab4/Countdown.v" into library work +Analyzing Verilog file "/home/michael/Documents/School/EC311/lab4/CountdownController.v" into library work diff --git a/iseconfig/CountdownController.xreport b/iseconfig/CountdownController.xreport index 81912a7..f2c2a7b 100644 --- a/iseconfig/CountdownController.xreport +++ b/iseconfig/CountdownController.xreport @@ -1,7 +1,7 @@
- 2012-03-21T11:25:15 + 2012-03-21T13:31:48 CountdownController Unknown /home/michael/Documents/School/EC311/lab4/iseconfig/CountdownController.xreport diff --git a/iseconfig/lab4.projectmgr b/iseconfig/lab4.projectmgr index a85babe..325fe45 100644 --- a/iseconfig/lab4.projectmgr +++ b/iseconfig/lab4.projectmgr @@ -85,7 +85,6 @@ /TEST_BCD2Bin |home|michael|Documents|School|EC311|lab4|TEST_BCD2Bin.v /TEST_Bin2BCD |home|michael|Documents|School|EC311|lab4|TEST_Bin2BCD.v /TEST_ClockDivider |home|michael|Documents|School|EC311|lab4|TEST_ClockDivider.v - /TEST_Countdown |home|michael|Documents|School|EC311|lab4|TEST_Countdown.v /TEST_DisplayController |home|michael|Documents|School|EC311|lab4|TEST_DisplayController.v /TEST_DisplayController |home|michael|Documents|School|EC311|lab4|TEST_DisplayController.v/uut - DisplayController /TEST_Increment |home|michael|Documents|School|EC311|lab4|TEST_Increment.v @@ -94,7 +93,7 @@ Test_ContdownController (/home/michael/Documents/School/EC311/lab4/Test_ContdownController.v) - 3 + 4 0 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000018f000000020000000000000000000000000200000064ffffffff0000008100000003000000020000018f0000000100000003000000000000000100000003 true @@ -119,13 +118,13 @@ 1 - + Simulate Behavioral Model 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000 false - + Simulate Behavioral Model diff --git a/lab4.gise b/lab4.gise index 69a679c..06772a8 100644 --- a/lab4.gise +++ b/lab4.gise @@ -120,10 +120,9 @@ - + - -- cgit v1.2.3