From 2ac48fa0e44016a6cb49cab84a154eb7ec2dcab4 Mon Sep 17 00:00:00 2001 From: Michael Abed Date: Wed, 21 Mar 2012 13:17:47 -0400 Subject: Initial Commit --- SevSegDisp.v | 45 +++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 45 insertions(+) create mode 100644 SevSegDisp.v (limited to 'SevSegDisp.v') diff --git a/SevSegDisp.v b/SevSegDisp.v new file mode 100644 index 0000000..f0b6ee9 --- /dev/null +++ b/SevSegDisp.v @@ -0,0 +1,45 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 16:01:14 03/16/2012 +// Design Name: +// Module Name: SevSegDisp +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + +module SevSegDisp( + input [3:0] A, + output [6:0] out + ); + +reg [6:0] out = 0; + +always @ ( * ) begin + case ( A ) + 4'b0000 : out = 7'b0000001; + 4'b0001 : out = 7'b1001111; + 4'b0010 : out = 7'b0010010; + 4'b0011 : out = 7'b0000110; + 4'b0100 : out = 7'b1001100; + 4'b0101 : out = 7'b0100100; + 4'b0110 : out = 7'b0100000; + 4'b0111 : out = 7'b0001111; + 4'b1000 : out = 7'b0000000; + 4'b1001 : out = 7'b0001100; + default : out = 7'b0011010; + endcase +end + +endmodule -- cgit v1.2.3