2 /CountdownController |home|michael|Documents|School|EC311|lab4|CountdownController.v/DisplayController - DisplayController cntdwn - Countdown (/home/michael/Documents/School/EC311/lab4/Countdown.v) 0 0 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000017a000000020000000000000000000000000200000064ffffffff0000008100000003000000020000017a0000000100000003000000000000000100000003 true cntdwn - Countdown (/home/michael/Documents/School/EC311/lab4/Countdown.v) 1 Design Utilities 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000 false 1 debouncer.v 0 0 000000ff00000000000000010000000000000000010000000000000000000000000000000000000287000000040101000100000000000000000000000064ffffffff0000008100000000000000040000004a0000000100000000000000640000000100000000000000790000000100000000000001600000000100000000 false debouncer.v 1 work 0 0 000000ff00000000000000010000000000000000010000000000000000000000000000000000000117000000010001000100000000000000000000000064ffffffff000000810000000000000001000001170000000100000000 false work 1 Configure Target Device Implement Design/Map Implement Design/Place & Route/Back-annotate Pin Locations Implement Design/Place & Route/Generate IBIS Model Implement Design/Place & Route/Generate Post-Place & Route Static Timing Implement Design/Translate User Constraints 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000 false 2 /CountdownController |home|michael|Documents|School|EC311|lab4|CountdownController.v/DisplayController - DisplayController /DisplayController |home|michael|Documents|School|EC311|lab4|DisplayController.v /TEST_BCD2Bin |home|michael|Documents|School|EC311|lab4|TEST_BCD2Bin.v /TEST_Bin2BCD |home|michael|Documents|School|EC311|lab4|TEST_Bin2BCD.v /TEST_ClockDivider |home|michael|Documents|School|EC311|lab4|TEST_ClockDivider.v /TEST_Countdown |home|michael|Documents|School|EC311|lab4|TEST_Countdown.v /TEST_DisplayController |home|michael|Documents|School|EC311|lab4|TEST_DisplayController.v /TEST_DisplayController |home|michael|Documents|School|EC311|lab4|TEST_DisplayController.v/uut - DisplayController /TEST_Increment |home|michael|Documents|School|EC311|lab4|TEST_Increment.v /TEST_SevSegDisp |home|michael|Documents|School|EC311|lab4|TEST_SevSegDisp.v Test_ContdownController (/home/michael/Documents/School/EC311/lab4/Test_ContdownController.v) 3 0 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000018f000000020000000000000000000000000200000064ffffffff0000008100000003000000020000018f0000000100000003000000000000000100000003 true Test_ContdownController (/home/michael/Documents/School/EC311/lab4/Test_ContdownController.v) 1 Design Utilities/Compile HDL Simulation Libraries 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000 false 1 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000 false 2 /TEST_Bin2BCD |home|michael|Documents|School|EC311|lab4|TEST_Bin2BCD.v Unassigned User Library Modules 0 0 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000010d000000020000000000000000000000000200000064ffffffff0000008100000003000000020000010d0000000100000003000000000000000100000003 false Unassigned User Library Modules 1 Compile HDL Simulation Libraries 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000 false Compile HDL Simulation Libraries 2 /TEST_Bin2BCD |home|michael|Documents|School|EC311|lab4|TEST_Bin2BCD.v Unassigned User Library Modules 0 0 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000010d000000020000000000000000000000000200000064ffffffff0000008100000003000000020000010d0000000100000003000000000000000100000003 false Unassigned User Library Modules 1 Compile HDL Simulation Libraries 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000 false Compile HDL Simulation Libraries 2 /TEST_Bin2BCD |home|michael|Documents|School|EC311|lab4|TEST_Bin2BCD.v Unassigned User Library Modules 0 0 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000010d000000020000000000000000000000000200000064ffffffff0000008100000003000000020000010d0000000100000003000000000000000100000003 false Unassigned User Library Modules 1 Compile HDL Simulation Libraries 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000 false Compile HDL Simulation Libraries 000000ff00000000000000020000010a0000009d01000000060100000002 Behavioral Simulation