From c5c3101483a4c2facd67f514f0c320b4192f5844 Mon Sep 17 00:00:00 2001 From: Michael Abed Date: Thu, 5 Apr 2012 15:53:47 -0400 Subject: lab6 --- .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 4736 bytes .../TEST_Detector_isim_beh.exe | Bin 0 -> 16512 bytes isim/TEST_Detector_isim_beh.exe.sim/isimcrash.log | 0 isim/TEST_Detector_isim_beh.exe.sim/isimkernel.log | 10 + isim/TEST_Detector_isim_beh.exe.sim/netId.dat | Bin 0 -> 84 bytes isim/TEST_Detector_isim_beh.exe.sim/tmp_save/_1 | Bin 0 -> 2678 bytes .../work/TEST_Detector_isim_beh.exe_main.c | 36 ++ .../work/TEST_Detector_isim_beh.exe_main.lin64.o | Bin 0 -> 2448 bytes .../work/m_13872111861810629931_1087962131.c | 547 +++++++++++++++++++++ .../work/m_13872111861810629931_1087962131.didat | Bin 0 -> 3112 bytes .../work/m_13872111861810629931_1087962131.lin64.o | Bin 0 -> 7992 bytes .../work/m_14253225476704866645_1235880303.c | 286 +++++++++++ .../work/m_14253225476704866645_1235880303.didat | Bin 0 -> 3440 bytes .../work/m_14253225476704866645_1235880303.lin64.o | Bin 0 -> 5176 bytes .../work/m_16541823861846354283_2073120511.c | 337 +++++++++++++ .../work/m_16541823861846354283_2073120511.didat | Bin 0 -> 5556 bytes .../work/m_16541823861846354283_2073120511.lin64.o | Bin 0 -> 5232 bytes isim/isim_usage_statistics.html | 5 + isim/lockfile | 0 isim/pn_info | 1 + isim/work/@detector.sdb | Bin 0 -> 4098 bytes isim/work/@t@e@s@t_@detector.sdb | Bin 0 -> 3126 bytes isim/work/glbl.sdb | Bin 0 -> 5172 bytes 23 files changed, 1222 insertions(+) create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100755 isim/TEST_Detector_isim_beh.exe.sim/TEST_Detector_isim_beh.exe create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/isimcrash.log create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/isimkernel.log create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/netId.dat create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/tmp_save/_1 create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/work/TEST_Detector_isim_beh.exe_main.c create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/work/TEST_Detector_isim_beh.exe_main.lin64.o create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.c create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.didat create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.lin64.o create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.c create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.didat create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.lin64.o create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didat create mode 100644 isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.o create mode 100644 isim/isim_usage_statistics.html create mode 100644 isim/lockfile create mode 100644 isim/pn_info create mode 100644 isim/work/@detector.sdb create mode 100644 isim/work/@t@e@s@t_@detector.sdb create mode 100644 isim/work/glbl.sdb (limited to 'isim') diff --git a/isim/TEST_Detector_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/TEST_Detector_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg new file mode 100644 index 0000000..c92f755 Binary files /dev/null and b/isim/TEST_Detector_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg differ diff --git a/isim/TEST_Detector_isim_beh.exe.sim/TEST_Detector_isim_beh.exe b/isim/TEST_Detector_isim_beh.exe.sim/TEST_Detector_isim_beh.exe new file mode 100755 index 0000000..d1311cc Binary files /dev/null and b/isim/TEST_Detector_isim_beh.exe.sim/TEST_Detector_isim_beh.exe differ diff --git a/isim/TEST_Detector_isim_beh.exe.sim/isimcrash.log b/isim/TEST_Detector_isim_beh.exe.sim/isimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/isim/TEST_Detector_isim_beh.exe.sim/isimkernel.log b/isim/TEST_Detector_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..52d56ea --- /dev/null +++ b/isim/TEST_Detector_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,10 @@ +Command line: + TEST_Detector_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 42292 + +Thu Apr 5 15:29:09 2012 + + + Elaboration Time: 0.01 sec diff --git a/isim/TEST_Detector_isim_beh.exe.sim/netId.dat b/isim/TEST_Detector_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000..6f94b14 Binary files /dev/null and b/isim/TEST_Detector_isim_beh.exe.sim/netId.dat differ diff --git a/isim/TEST_Detector_isim_beh.exe.sim/tmp_save/_1 b/isim/TEST_Detector_isim_beh.exe.sim/tmp_save/_1 new file mode 100644 index 0000000..ce05ccc Binary files /dev/null and b/isim/TEST_Detector_isim_beh.exe.sim/tmp_save/_1 differ diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/TEST_Detector_isim_beh.exe_main.c b/isim/TEST_Detector_isim_beh.exe.sim/work/TEST_Detector_isim_beh.exe_main.c new file mode 100644 index 0000000..8e9fb43 --- /dev/null +++ b/isim/TEST_Detector_isim_beh.exe.sim/work/TEST_Detector_isim_beh.exe_main.c @@ -0,0 +1,36 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +#include "xsi.h" + +struct XSI_INFO xsi_info; + + + +int main(int argc, char **argv) +{ + xsi_init_design(argc, argv); + xsi_register_info(&xsi_info); + + xsi_register_min_prec_unit(-12); + work_m_13872111861810629931_1087962131_init(); + work_m_14253225476704866645_1235880303_init(); + work_m_16541823861846354283_2073120511_init(); + + + xsi_register_tops("work_m_14253225476704866645_1235880303"); + xsi_register_tops("work_m_16541823861846354283_2073120511"); + + + return xsi_run_simulation(argc, argv); + +} diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/TEST_Detector_isim_beh.exe_main.lin64.o b/isim/TEST_Detector_isim_beh.exe.sim/work/TEST_Detector_isim_beh.exe_main.lin64.o new file mode 100644 index 0000000..7e43768 Binary files /dev/null and b/isim/TEST_Detector_isim_beh.exe.sim/work/TEST_Detector_isim_beh.exe_main.lin64.o differ diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.c b/isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.c new file mode 100644 index 0000000..47cffce --- /dev/null +++ b/isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.c @@ -0,0 +1,547 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x8ddf5b5d */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/michael/Documents/School/EC311/lab6/Detector.v"; +static int ng1[] = {0, 0}; +static unsigned int ng2[] = {0U, 0U}; +static unsigned int ng3[] = {1U, 0U}; +static unsigned int ng4[] = {2U, 0U}; +static unsigned int ng5[] = {3U, 0U}; +static int ng6[] = {1, 0}; + + + +static void Initial_33_0(char *t0) +{ + char *t1; + char *t2; + +LAB0: xsi_set_current_line(33, ng0); + +LAB2: xsi_set_current_line(34, ng0); + t1 = ((char*)((ng1))); + t2 = (t0 + 1928); + xsi_vlogvar_assign_value(t2, t1, 0, 0, 2); + xsi_set_current_line(35, ng0); + t1 = ((char*)((ng1))); + t2 = (t0 + 1768); + xsi_vlogvar_assign_value(t2, t1, 0, 0, 1); + +LAB1: return; +} + +static void Always_38_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + +LAB0: t1 = (t0 + 3248U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(38, ng0); + t2 = (t0 + 4064); + *((int *)t2) = 1; + t3 = (t0 + 3280); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(38, ng0); + +LAB5: xsi_set_current_line(39, ng0); + t4 = (t0 + 1368U); + t5 = *((char **)t4); + t4 = (t5 + 4); + t6 = *((unsigned int *)t4); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 != 0); + if (t10 > 0) + goto LAB6; + +LAB7: xsi_set_current_line(41, ng0); + +LAB10: xsi_set_current_line(42, ng0); + t2 = (t0 + 2088); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 1928); + xsi_vlogvar_wait_assign_value(t5, t4, 0, 0, 2, 0LL); + +LAB8: goto LAB2; + +LAB6: xsi_set_current_line(39, ng0); + +LAB9: xsi_set_current_line(40, ng0); + t11 = ((char*)((ng1))); + t12 = (t0 + 1928); + xsi_vlogvar_wait_assign_value(t12, t11, 0, 0, 2, 0LL); + goto LAB8; + +} + +static void Always_46_2(char *t0) +{ + char t9[8]; + char t10[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + int t8; + char *t11; + char *t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + char *t18; + char *t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + char *t29; + +LAB0: t1 = (t0 + 3496U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(46, ng0); + t2 = (t0 + 4080); + *((int *)t2) = 1; + t3 = (t0 + 3528); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(46, ng0); + +LAB5: xsi_set_current_line(47, ng0); + t4 = (t0 + 1928); + t5 = (t4 + 56U); + t6 = *((char **)t5); + +LAB6: t7 = ((char*)((ng2))); + t8 = xsi_vlog_unsigned_case_compare(t6, 2, t7, 2); + if (t8 == 1) + goto LAB7; + +LAB8: t2 = ((char*)((ng3))); + t8 = xsi_vlog_unsigned_case_compare(t6, 2, t2, 2); + if (t8 == 1) + goto LAB9; + +LAB10: t2 = ((char*)((ng4))); + t8 = xsi_vlog_unsigned_case_compare(t6, 2, t2, 2); + if (t8 == 1) + goto LAB11; + +LAB12: t2 = ((char*)((ng5))); + t8 = xsi_vlog_unsigned_case_compare(t6, 2, t2, 2); + if (t8 == 1) + goto LAB13; + +LAB14: +LAB16: +LAB15: xsi_set_current_line(52, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 2); + +LAB17: goto LAB2; + +LAB7: xsi_set_current_line(48, ng0); + t11 = (t0 + 1048U); + t12 = *((char **)t11); + memset(t10, 0, 8); + t11 = (t12 + 4); + t13 = *((unsigned int *)t11); + t14 = (~(t13)); + t15 = *((unsigned int *)t12); + t16 = (t15 & t14); + t17 = (t16 & 1U); + if (t17 != 0) + goto LAB18; + +LAB19: if (*((unsigned int *)t11) != 0) + goto LAB20; + +LAB21: t19 = (t10 + 4); + t20 = *((unsigned int *)t10); + t21 = *((unsigned int *)t19); + t22 = (t20 || t21); + if (t22 > 0) + goto LAB22; + +LAB23: t24 = *((unsigned int *)t10); + t25 = (~(t24)); + t26 = *((unsigned int *)t19); + t27 = (t25 || t26); + if (t27 > 0) + goto LAB24; + +LAB25: if (*((unsigned int *)t19) > 0) + goto LAB26; + +LAB27: if (*((unsigned int *)t10) > 0) + goto LAB28; + +LAB29: memcpy(t9, t28, 8); + +LAB30: t29 = (t0 + 2088); + xsi_vlogvar_assign_value(t29, t9, 0, 0, 2); + goto LAB17; + +LAB9: xsi_set_current_line(49, ng0); + t3 = (t0 + 1048U); + t4 = *((char **)t3); + memset(t10, 0, 8); + t3 = (t4 + 4); + t13 = *((unsigned int *)t3); + t14 = (~(t13)); + t15 = *((unsigned int *)t4); + t16 = (t15 & t14); + t17 = (t16 & 1U); + if (t17 != 0) + goto LAB31; + +LAB32: if (*((unsigned int *)t3) != 0) + goto LAB33; + +LAB34: t7 = (t10 + 4); + t20 = *((unsigned int *)t10); + t21 = *((unsigned int *)t7); + t22 = (t20 || t21); + if (t22 > 0) + goto LAB35; + +LAB36: t24 = *((unsigned int *)t10); + t25 = (~(t24)); + t26 = *((unsigned int *)t7); + t27 = (t25 || t26); + if (t27 > 0) + goto LAB37; + +LAB38: if (*((unsigned int *)t7) > 0) + goto LAB39; + +LAB40: if (*((unsigned int *)t10) > 0) + goto LAB41; + +LAB42: memcpy(t9, t12, 8); + +LAB43: t18 = (t0 + 2088); + xsi_vlogvar_assign_value(t18, t9, 0, 0, 2); + goto LAB17; + +LAB11: xsi_set_current_line(50, ng0); + t3 = (t0 + 1048U); + t4 = *((char **)t3); + memset(t10, 0, 8); + t3 = (t4 + 4); + t13 = *((unsigned int *)t3); + t14 = (~(t13)); + t15 = *((unsigned int *)t4); + t16 = (t15 & t14); + t17 = (t16 & 1U); + if (t17 != 0) + goto LAB44; + +LAB45: if (*((unsigned int *)t3) != 0) + goto LAB46; + +LAB47: t7 = (t10 + 4); + t20 = *((unsigned int *)t10); + t21 = *((unsigned int *)t7); + t22 = (t20 || t21); + if (t22 > 0) + goto LAB48; + +LAB49: t24 = *((unsigned int *)t10); + t25 = (~(t24)); + t26 = *((unsigned int *)t7); + t27 = (t25 || t26); + if (t27 > 0) + goto LAB50; + +LAB51: if (*((unsigned int *)t7) > 0) + goto LAB52; + +LAB53: if (*((unsigned int *)t10) > 0) + goto LAB54; + +LAB55: memcpy(t9, t12, 8); + +LAB56: t18 = (t0 + 2088); + xsi_vlogvar_assign_value(t18, t9, 0, 0, 2); + goto LAB17; + +LAB13: xsi_set_current_line(51, ng0); + t3 = (t0 + 1048U); + t4 = *((char **)t3); + memset(t10, 0, 8); + t3 = (t4 + 4); + t13 = *((unsigned int *)t3); + t14 = (~(t13)); + t15 = *((unsigned int *)t4); + t16 = (t15 & t14); + t17 = (t16 & 1U); + if (t17 != 0) + goto LAB57; + +LAB58: if (*((unsigned int *)t3) != 0) + goto LAB59; + +LAB60: t7 = (t10 + 4); + t20 = *((unsigned int *)t10); + t21 = *((unsigned int *)t7); + t22 = (t20 || t21); + if (t22 > 0) + goto LAB61; + +LAB62: t24 = *((unsigned int *)t10); + t25 = (~(t24)); + t26 = *((unsigned int *)t7); + t27 = (t25 || t26); + if (t27 > 0) + goto LAB63; + +LAB64: if (*((unsigned int *)t7) > 0) + goto LAB65; + +LAB66: if (*((unsigned int *)t10) > 0) + goto LAB67; + +LAB68: memcpy(t9, t12, 8); + +LAB69: t18 = (t0 + 2088); + xsi_vlogvar_assign_value(t18, t9, 0, 0, 2); + goto LAB17; + +LAB18: *((unsigned int *)t10) = 1; + goto LAB21; + +LAB20: t18 = (t10 + 4); + *((unsigned int *)t10) = 1; + *((unsigned int *)t18) = 1; + goto LAB21; + +LAB22: t23 = ((char*)((ng3))); + goto LAB23; + +LAB24: t28 = ((char*)((ng2))); + goto LAB25; + +LAB26: xsi_vlog_unsigned_bit_combine(t9, 2, t23, 2, t28, 2); + goto LAB30; + +LAB28: memcpy(t9, t23, 8); + goto LAB30; + +LAB31: *((unsigned int *)t10) = 1; + goto LAB34; + +LAB33: t5 = (t10 + 4); + *((unsigned int *)t10) = 1; + *((unsigned int *)t5) = 1; + goto LAB34; + +LAB35: t11 = ((char*)((ng4))); + goto LAB36; + +LAB37: t12 = ((char*)((ng2))); + goto LAB38; + +LAB39: xsi_vlog_unsigned_bit_combine(t9, 2, t11, 2, t12, 2); + goto LAB43; + +LAB41: memcpy(t9, t11, 8); + goto LAB43; + +LAB44: *((unsigned int *)t10) = 1; + goto LAB47; + +LAB46: t5 = (t10 + 4); + *((unsigned int *)t10) = 1; + *((unsigned int *)t5) = 1; + goto LAB47; + +LAB48: t11 = ((char*)((ng5))); + goto LAB49; + +LAB50: t12 = ((char*)((ng2))); + goto LAB51; + +LAB52: xsi_vlog_unsigned_bit_combine(t9, 2, t11, 2, t12, 2); + goto LAB56; + +LAB54: memcpy(t9, t11, 8); + goto LAB56; + +LAB57: *((unsigned int *)t10) = 1; + goto LAB60; + +LAB59: t5 = (t10 + 4); + *((unsigned int *)t10) = 1; + *((unsigned int *)t5) = 1; + goto LAB60; + +LAB61: t11 = ((char*)((ng5))); + goto LAB62; + +LAB63: t12 = ((char*)((ng2))); + goto LAB64; + +LAB65: xsi_vlog_unsigned_bit_combine(t9, 2, t11, 2, t12, 2); + goto LAB69; + +LAB67: memcpy(t9, t11, 8); + goto LAB69; + +} + +static void Always_56_3(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + int t8; + char *t9; + char *t10; + +LAB0: t1 = (t0 + 3744U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(56, ng0); + t2 = (t0 + 4096); + *((int *)t2) = 1; + t3 = (t0 + 3776); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(56, ng0); + +LAB5: xsi_set_current_line(57, ng0); + t4 = (t0 + 1928); + t5 = (t4 + 56U); + t6 = *((char **)t5); + +LAB6: t7 = ((char*)((ng2))); + t8 = xsi_vlog_unsigned_case_compare(t6, 2, t7, 2); + if (t8 == 1) + goto LAB7; + +LAB8: t2 = ((char*)((ng3))); + t8 = xsi_vlog_unsigned_case_compare(t6, 2, t2, 2); + if (t8 == 1) + goto LAB9; + +LAB10: t2 = ((char*)((ng4))); + t8 = xsi_vlog_unsigned_case_compare(t6, 2, t2, 2); + if (t8 == 1) + goto LAB11; + +LAB12: t2 = ((char*)((ng5))); + t8 = xsi_vlog_unsigned_case_compare(t6, 2, t2, 2); + if (t8 == 1) + goto LAB13; + +LAB14: +LAB16: +LAB15: xsi_set_current_line(62, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1768); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + +LAB17: goto LAB2; + +LAB7: xsi_set_current_line(58, ng0); + t9 = ((char*)((ng1))); + t10 = (t0 + 1768); + xsi_vlogvar_assign_value(t10, t9, 0, 0, 1); + goto LAB17; + +LAB9: xsi_set_current_line(59, ng0); + t3 = ((char*)((ng1))); + t4 = (t0 + 1768); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + goto LAB17; + +LAB11: xsi_set_current_line(60, ng0); + t3 = ((char*)((ng1))); + t4 = (t0 + 1768); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + goto LAB17; + +LAB13: xsi_set_current_line(61, ng0); + t3 = ((char*)((ng6))); + t4 = (t0 + 1768); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + goto LAB17; + +} + + +extern void work_m_13872111861810629931_1087962131_init() +{ + static char *pe[] = {(void *)Initial_33_0,(void *)Always_38_1,(void *)Always_46_2,(void *)Always_56_3}; + xsi_register_didat("work_m_13872111861810629931_1087962131", "isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.didat"); + xsi_register_executes(pe); +} diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.didat b/isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.didat new file mode 100644 index 0000000..6c3c7ba Binary files /dev/null and b/isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.didat differ diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.lin64.o b/isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.lin64.o new file mode 100644 index 0000000..45510b7 Binary files /dev/null and b/isim/TEST_Detector_isim_beh.exe.sim/work/m_13872111861810629931_1087962131.lin64.o differ diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.c b/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.c new file mode 100644 index 0000000..bab52a4 --- /dev/null +++ b/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.c @@ -0,0 +1,286 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x8ddf5b5d */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/michael/Documents/School/EC311/lab6/TEST_Detector.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {20, 0}; +static int ng3[] = {19, 0}; +static int ng4[] = {1, 0}; + + + +static void Initial_47_0(char *t0) +{ + char t6[8]; + char t19[8]; + char t27[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t7; + char *t8; + char *t9; + char *t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + char *t16; + char *t17; + char *t18; + char *t20; + char *t21; + char *t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t28; + unsigned int t29; + unsigned int t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + +LAB0: t1 = (t0 + 3160U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(47, ng0); + +LAB4: xsi_set_current_line(49, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1608); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(50, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1768); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(51, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(52, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(55, ng0); + t2 = (t0 + 2968); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(58, ng0); + xsi_set_current_line(58, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + +LAB6: t2 = (t0 + 2248); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng2))); + memset(t6, 0, 8); + t7 = (t4 + 4); + if (*((unsigned int *)t7) != 0) + goto LAB8; + +LAB7: t8 = (t5 + 4); + if (*((unsigned int *)t8) != 0) + goto LAB8; + +LAB11: if (*((unsigned int *)t4) < *((unsigned int *)t5)) + goto LAB9; + +LAB10: t10 = (t6 + 4); + t11 = *((unsigned int *)t10); + t12 = (~(t11)); + t13 = *((unsigned int *)t6); + t14 = (t13 & t12); + t15 = (t14 != 0); + if (t15 > 0) + goto LAB12; + +LAB13: goto LAB1; + +LAB8: t9 = (t6 + 4); + *((unsigned int *)t6) = 1; + *((unsigned int *)t9) = 1; + goto LAB10; + +LAB9: *((unsigned int *)t6) = 1; + goto LAB10; + +LAB12: xsi_set_current_line(58, ng0); + +LAB14: xsi_set_current_line(59, ng0); + t16 = (t0 + 2088); + t17 = (t16 + 56U); + t18 = *((char **)t17); + t20 = (t0 + 2088); + t21 = (t20 + 72U); + t22 = *((char **)t21); + t23 = ((char*)((ng3))); + t24 = (t0 + 2248); + t25 = (t24 + 56U); + t26 = *((char **)t25); + memset(t27, 0, 8); + xsi_vlog_unsigned_minus(t27, 32, t23, 32, t26, 16); + xsi_vlog_generic_get_index_select_value(t19, 1, t18, t22, 2, t27, 32, 2); + t28 = (t0 + 1608); + xsi_vlogvar_assign_value(t28, t19, 0, 0, 1); + xsi_set_current_line(60, ng0); + t2 = (t0 + 1768); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t6, 0, 8); + t5 = (t4 + 4); + t11 = *((unsigned int *)t5); + t12 = (~(t11)); + t13 = *((unsigned int *)t4); + t14 = (t13 & t12); + t15 = (t14 & 1U); + if (t15 != 0) + goto LAB18; + +LAB16: if (*((unsigned int *)t5) == 0) + goto LAB15; + +LAB17: t7 = (t6 + 4); + *((unsigned int *)t6) = 1; + *((unsigned int *)t7) = 1; + +LAB18: t8 = (t6 + 4); + t9 = (t4 + 4); + t29 = *((unsigned int *)t4); + t30 = (~(t29)); + *((unsigned int *)t6) = t30; + *((unsigned int *)t8) = 0; + if (*((unsigned int *)t9) != 0) + goto LAB20; + +LAB19: t35 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t35 & 1U); + t36 = *((unsigned int *)t8); + *((unsigned int *)t8) = (t36 & 1U); + t10 = (t0 + 1768); + xsi_vlogvar_assign_value(t10, t6, 0, 0, 1); + xsi_set_current_line(60, ng0); + t2 = (t0 + 2968); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB15: *((unsigned int *)t6) = 1; + goto LAB18; + +LAB20: t31 = *((unsigned int *)t6); + t32 = *((unsigned int *)t9); + *((unsigned int *)t6) = (t31 | t32); + t33 = *((unsigned int *)t8); + t34 = *((unsigned int *)t9); + *((unsigned int *)t8) = (t33 | t34); + goto LAB19; + +LAB21: xsi_set_current_line(61, ng0); + t2 = (t0 + 1768); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t6, 0, 8); + t5 = (t4 + 4); + t11 = *((unsigned int *)t5); + t12 = (~(t11)); + t13 = *((unsigned int *)t4); + t14 = (t13 & t12); + t15 = (t14 & 1U); + if (t15 != 0) + goto LAB25; + +LAB23: if (*((unsigned int *)t5) == 0) + goto LAB22; + +LAB24: t7 = (t6 + 4); + *((unsigned int *)t6) = 1; + *((unsigned int *)t7) = 1; + +LAB25: t8 = (t6 + 4); + t9 = (t4 + 4); + t29 = *((unsigned int *)t4); + t30 = (~(t29)); + *((unsigned int *)t6) = t30; + *((unsigned int *)t8) = 0; + if (*((unsigned int *)t9) != 0) + goto LAB27; + +LAB26: t35 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t35 & 1U); + t36 = *((unsigned int *)t8); + *((unsigned int *)t8) = (t36 & 1U); + t10 = (t0 + 1768); + xsi_vlogvar_assign_value(t10, t6, 0, 0, 1); + xsi_set_current_line(61, ng0); + t2 = (t0 + 2968); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB22: *((unsigned int *)t6) = 1; + goto LAB25; + +LAB27: t31 = *((unsigned int *)t6); + t32 = *((unsigned int *)t9); + *((unsigned int *)t6) = (t31 | t32); + t33 = *((unsigned int *)t8); + t34 = *((unsigned int *)t9); + *((unsigned int *)t8) = (t33 | t34); + goto LAB26; + +LAB28: xsi_set_current_line(58, ng0); + t2 = (t0 + 2248); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng4))); + memset(t6, 0, 8); + xsi_vlog_unsigned_add(t6, 32, t4, 16, t5, 32); + t7 = (t0 + 2248); + xsi_vlogvar_assign_value(t7, t6, 0, 0, 16); + goto LAB6; + +} + + +extern void work_m_14253225476704866645_1235880303_init() +{ + static char *pe[] = {(void *)Initial_47_0}; + xsi_register_didat("work_m_14253225476704866645_1235880303", "isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.didat"); + xsi_register_executes(pe); +} diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.didat b/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.didat new file mode 100644 index 0000000..ef16a5f Binary files /dev/null and b/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.didat differ diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.lin64.o b/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.lin64.o new file mode 100644 index 0000000..ac1dc88 Binary files /dev/null and b/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.lin64.o differ diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c b/isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c new file mode 100644 index 0000000..0948290 --- /dev/null +++ b/isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c @@ -0,0 +1,337 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x8ddf5b5d */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/michael/opt/Xilinx/13.4/ISE_DS/ISE/verilog/src/glbl.v"; +static unsigned int ng1[] = {1U, 0U}; +static unsigned int ng2[] = {0U, 0U}; + + + +static void NetDecl_16_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + char *t10; + unsigned int t11; + unsigned int t12; + char *t13; + unsigned int t14; + unsigned int t15; + char *t16; + +LAB0: t1 = (t0 + 6952U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(16, ng0); + t2 = (t0 + 1960U); + t3 = *((char **)t2); + t2 = (t0 + 8640); + t4 = (t2 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + memset(t7, 0, 8); + t8 = 1U; + t9 = t8; + t10 = (t3 + 4); + t11 = *((unsigned int *)t3); + t8 = (t8 & t11); + t12 = *((unsigned int *)t10); + t9 = (t9 & t12); + t13 = (t7 + 4); + t14 = *((unsigned int *)t7); + *((unsigned int *)t7) = (t14 | t8); + t15 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t15 | t9); + xsi_driver_vfirst_trans(t2, 0, 0U); + t16 = (t0 + 8512); + *((int *)t16) = 1; + +LAB1: return; +} + +static void Cont_48_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7200U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(48, ng0); + t2 = (t0 + 3640); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8704); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8528); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_49_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7448U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(49, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8768); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8544); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_50_3(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7696U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(50, ng0); + t2 = (t0 + 3960); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8832); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8560); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Initial_52_4(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + +LAB0: t1 = (t0 + 7944U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(52, ng0); + +LAB4: xsi_set_current_line(53, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3640); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(54, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3960); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(55, ng0); + t2 = (t0 + 7752); + xsi_process_wait(t2, 100000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(56, ng0); + t3 = ((char*)((ng2))); + t4 = (t0 + 3640); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + xsi_set_current_line(57, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3960); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + goto LAB1; + +} + +static void Initial_60_5(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + +LAB0: t1 = (t0 + 8192U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(60, ng0); + +LAB4: xsi_set_current_line(61, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3800); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(62, ng0); + t2 = (t0 + 8000); + xsi_process_wait(t2, 0LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(63, ng0); + t3 = ((char*)((ng2))); + t4 = (t0 + 3800); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + goto LAB1; + +} + + +extern void work_m_16541823861846354283_2073120511_init() +{ + static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; + xsi_register_didat("work_m_16541823861846354283_2073120511", "isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didat"); + xsi_register_executes(pe); +} diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didat b/isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didat new file mode 100644 index 0000000..9851841 Binary files /dev/null and b/isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didat differ diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.o b/isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.o new file mode 100644 index 0000000..e862ecf Binary files /dev/null and b/isim/TEST_Detector_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.o differ diff --git a/isim/isim_usage_statistics.html b/isim/isim_usage_statistics.html new file mode 100644 index 0000000..014f8a3 --- /dev/null +++ b/isim/isim_usage_statistics.html @@ -0,0 +1,5 @@ + + + + + diff --git a/isim/lockfile b/isim/lockfile new file mode 100644 index 0000000..e69de29 diff --git a/isim/pn_info b/isim/pn_info new file mode 100644 index 0000000..c5644b4 --- /dev/null +++ b/isim/pn_info @@ -0,0 +1 @@ +13.4 diff --git a/isim/work/@detector.sdb b/isim/work/@detector.sdb new file mode 100644 index 0000000..327c706 Binary files /dev/null and b/isim/work/@detector.sdb differ diff --git a/isim/work/@t@e@s@t_@detector.sdb b/isim/work/@t@e@s@t_@detector.sdb new file mode 100644 index 0000000..e761685 Binary files /dev/null and b/isim/work/@t@e@s@t_@detector.sdb differ diff --git a/isim/work/glbl.sdb b/isim/work/glbl.sdb new file mode 100644 index 0000000..0075026 Binary files /dev/null and b/isim/work/glbl.sdb differ -- cgit v1.2.3
ISim Statistics
Xilinx HDL Libraries Used=
Fuse Resource Usage=1580 ms, 393016 KB