`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 11:57:54 09/27/2012 // Design Name: // Module Name: mux2_32bit // Project Name: // Target Devices: // Tool versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module mux2_32bit( input [31:0] a, input [31:0] b, input sel, output [31:0] o ); mux2_16bit m1(.a(a[15:0]), .b(b[15:0]), .sel(sel), .o(o[15:0])); mux2_16bit m2(.a(a[31:16]), .b(b[31:16]), .sel(sel), .o(o[31:16])); endmodule