From d6d76f552c28503784d9ccd26528a4d8dada18ef Mon Sep 17 00:00:00 2001 From: Michael Abed Date: Sun, 2 Dec 2012 12:06:19 -0500 Subject: make a git repo --- test_fsm.v | 42 ++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 42 insertions(+) create mode 100644 test_fsm.v (limited to 'test_fsm.v') diff --git a/test_fsm.v b/test_fsm.v new file mode 100644 index 0000000..0f86ef4 --- /dev/null +++ b/test_fsm.v @@ -0,0 +1,42 @@ +`timescale 1ns / 1ps + +module test_fsm; + +`include "params.v" + +reg clk, rst; +reg [2:0] itype; +wire [3:0] state; + +fsm uut( + .state(state), + .instrtype(itype), + .clk(clk), + .rst(rst) +); + +initial begin + //$dumpfile("test_fsm.vcd"); + //$dumpvars(0, uut); + clk = 0; rst = 0; itype = RINSTR; + #100; + #19; rst = 1; #1; rst = 0; + itype = RINSTR; + #19; rst = 1; #1; rst = 0; + itype = MEMRINSTR; + #19; rst = 1; #1; rst = 0; + itype = MEMWINSTR; + #19; rst = 1; #1; rst = 0; + itype = BRINSTR; + #19; rst = 1; #1; rst = 0; + itype = JINSTR; + #19; rst = 1; #1; rst = 0; + #100; + //$finish; +end + +always begin + clk = ~clk; #1; +end + +endmodule -- cgit v1.2.3