summaryrefslogtreecommitdiff
path: root/sev_seg_disp.sch
diff options
context:
space:
mode:
authorMichael Abed <michaelabed@gmail.com>2012-02-16 15:46:19 -0500
committerMichael Abed <michaelabed@gmail.com>2012-02-16 15:46:19 -0500
commit57738e75e221fe61a8f87270b430c0f1c0b8ead5 (patch)
tree8ace7cfb1f8b7330e45dad06e4a21efeb2cadd64 /sev_seg_disp.sch
downloadec311-lab1-57738e75e221fe61a8f87270b430c0f1c0b8ead5.tar.gz
ec311-lab1-57738e75e221fe61a8f87270b430c0f1c0b8ead5.tar.bz2
ec311-lab1-57738e75e221fe61a8f87270b430c0f1c0b8ead5.zip
initial commit
Diffstat (limited to 'sev_seg_disp.sch')
-rwxr-xr-xsev_seg_disp.sch975
1 files changed, 975 insertions, 0 deletions
diff --git a/sev_seg_disp.sch b/sev_seg_disp.sch
new file mode 100755
index 0000000..73df181
--- /dev/null
+++ b/sev_seg_disp.sch
@@ -0,0 +1,975 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="XLXN_61" />
+ <signal name="XLXN_62" />
+ <signal name="XLXN_63" />
+ <signal name="XLXN_64" />
+ <signal name="XLXN_65" />
+ <signal name="A" />
+ <signal name="B" />
+ <signal name="C" />
+ <signal name="D" />
+ <signal name="A_BAR" />
+ <signal name="B_BAR" />
+ <signal name="C_BAR" />
+ <signal name="D_BAR" />
+ <signal name="XLXN_91" />
+ <signal name="XLXN_92" />
+ <signal name="XLXN_93" />
+ <signal name="XLXN_94" />
+ <signal name="XLXN_105" />
+ <signal name="XLXN_113" />
+ <signal name="XLXN_114" />
+ <signal name="XLXN_125" />
+ <signal name="XLXN_126" />
+ <signal name="XLXN_128" />
+ <signal name="XLXN_129" />
+ <signal name="XLXN_130" />
+ <signal name="XLXN_131" />
+ <signal name="XLXN_145" />
+ <signal name="XLXN_146" />
+ <signal name="XLXN_147" />
+ <signal name="XLXN_148" />
+ <signal name="XLXN_149" />
+ <signal name="a_out" />
+ <signal name="XLXN_151" />
+ <signal name="b_out" />
+ <signal name="c_out" />
+ <signal name="XLXN_155" />
+ <signal name="XLXN_156" />
+ <signal name="d_out" />
+ <signal name="XLXN_158" />
+ <signal name="e_out" />
+ <signal name="XLXN_160" />
+ <signal name="f_out" />
+ <signal name="XLXN_162" />
+ <signal name="g_out" />
+ <signal name="XLXN_165" />
+ <signal name="sign" />
+ <signal name="XLXN_14" />
+ <signal name="AN0" />
+ <signal name="AN1" />
+ <signal name="AN2" />
+ <signal name="AN3" />
+ <signal name="XLXN_24" />
+ <port polarity="Input" name="A" />
+ <port polarity="Input" name="B" />
+ <port polarity="Input" name="C" />
+ <port polarity="Input" name="D" />
+ <port polarity="Output" name="a_out" />
+ <port polarity="Output" name="b_out" />
+ <port polarity="Output" name="c_out" />
+ <port polarity="Output" name="d_out" />
+ <port polarity="Output" name="e_out" />
+ <port polarity="Output" name="f_out" />
+ <port polarity="Output" name="g_out" />
+ <port polarity="Output" name="sign" />
+ <port polarity="Output" name="AN0" />
+ <port polarity="Output" name="AN1" />
+ <port polarity="Output" name="AN2" />
+ <port polarity="Output" name="AN3" />
+ <blockdef name="or3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="72" y1="-128" y2="-128" x1="0" />
+ <line x2="48" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
+ <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
+ <line x2="48" y1="-64" y2="-80" x1="48" />
+ <line x2="48" y1="-192" y2="-176" x1="48" />
+ <line x2="48" y1="-80" y2="-80" x1="112" />
+ <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
+ <line x2="48" y1="-176" y2="-176" x1="112" />
+ </blockdef>
+ <blockdef name="xnor2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="60" y1="-128" y2="-128" x1="0" />
+ <arc ex="44" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
+ <arc ex="64" ey="-144" sx="64" sy="-48" r="56" cx="32" cy="-96" />
+ <line x2="64" y1="-144" y2="-144" x1="128" />
+ <line x2="64" y1="-48" y2="-48" x1="128" />
+ <arc ex="128" ey="-144" sx="208" sy="-96" r="88" cx="132" cy="-56" />
+ <arc ex="208" ey="-96" sx="128" sy="-48" r="88" cx="132" cy="-136" />
+ <circle r="8" cx="220" cy="-96" />
+ <line x2="256" y1="-96" y2="-96" x1="228" />
+ <line x2="60" y1="-28" y2="-28" x1="60" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <blockdef name="xor2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="60" y1="-128" y2="-128" x1="0" />
+ <line x2="208" y1="-96" y2="-96" x1="256" />
+ <arc ex="44" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
+ <arc ex="64" ey="-144" sx="64" sy="-48" r="56" cx="32" cy="-96" />
+ <line x2="64" y1="-144" y2="-144" x1="128" />
+ <line x2="64" y1="-48" y2="-48" x1="128" />
+ <arc ex="128" ey="-144" sx="208" sy="-96" r="88" cx="132" cy="-56" />
+ <arc ex="208" ey="-96" sx="128" sy="-48" r="88" cx="132" cy="-136" />
+ </blockdef>
+ <blockdef name="and2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
+ <line x2="64" y1="-48" y2="-48" x1="144" />
+ <line x2="144" y1="-144" y2="-144" x1="64" />
+ <line x2="64" y1="-48" y2="-144" x1="64" />
+ </blockdef>
+ <blockdef name="or2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
+ <arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
+ <line x2="48" y1="-144" y2="-144" x1="112" />
+ <arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
+ <line x2="48" y1="-48" y2="-48" x1="112" />
+ </blockdef>
+ <blockdef name="or4">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="48" y1="-256" y2="-256" x1="0" />
+ <line x2="192" y1="-160" y2="-160" x1="256" />
+ <arc ex="112" ey="-208" sx="192" sy="-160" r="88" cx="116" cy="-120" />
+ <line x2="48" y1="-208" y2="-208" x1="112" />
+ <line x2="48" y1="-112" y2="-112" x1="112" />
+ <line x2="48" y1="-256" y2="-208" x1="48" />
+ <line x2="48" y1="-64" y2="-112" x1="48" />
+ <arc ex="48" ey="-208" sx="48" sy="-112" r="56" cx="16" cy="-160" />
+ <arc ex="192" ey="-160" sx="112" sy="-112" r="88" cx="116" cy="-200" />
+ </blockdef>
+ <blockdef name="and3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <line x2="144" y1="-176" y2="-176" x1="64" />
+ <line x2="64" y1="-80" y2="-80" x1="144" />
+ <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
+ <line x2="64" y1="-64" y2="-192" x1="64" />
+ </blockdef>
+ <blockdef name="or5">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="48" y1="-128" y2="-128" x1="0" />
+ <line x2="72" y1="-192" y2="-192" x1="0" />
+ <line x2="48" y1="-256" y2="-256" x1="0" />
+ <line x2="48" y1="-320" y2="-320" x1="0" />
+ <line x2="192" y1="-192" y2="-192" x1="256" />
+ <arc ex="192" ey="-192" sx="112" sy="-144" r="88" cx="116" cy="-232" />
+ <line x2="48" y1="-240" y2="-240" x1="112" />
+ <line x2="48" y1="-144" y2="-144" x1="112" />
+ <line x2="48" y1="-64" y2="-144" x1="48" />
+ <line x2="48" y1="-320" y2="-240" x1="48" />
+ <arc ex="112" ey="-240" sx="192" sy="-192" r="88" cx="116" cy="-152" />
+ <arc ex="48" ey="-240" sx="48" sy="-144" r="56" cx="16" cy="-192" />
+ </blockdef>
+ <blockdef name="buf">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="128" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="0" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="-64" x1="128" />
+ <line x2="64" y1="-64" y2="0" x1="64" />
+ </blockdef>
+ <blockdef name="gnd">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-96" x1="64" />
+ <line x2="52" y1="-48" y2="-48" x1="76" />
+ <line x2="60" y1="-32" y2="-32" x1="68" />
+ <line x2="40" y1="-64" y2="-64" x1="88" />
+ <line x2="64" y1="-64" y2="-80" x1="64" />
+ <line x2="64" y1="-128" y2="-96" x1="64" />
+ </blockdef>
+ <blockdef name="vcc">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-64" x1="64" />
+ <line x2="64" y1="0" y2="-32" x1="64" />
+ <line x2="32" y1="-64" y2="-64" x1="96" />
+ </blockdef>
+ <block symbolname="and3" name="XLXI_30">
+ <blockpin signalname="B" name="I0" />
+ <blockpin signalname="C_BAR" name="I1" />
+ <blockpin signalname="D" name="I2" />
+ <blockpin signalname="XLXN_61" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_31">
+ <blockpin signalname="A_BAR" name="I0" />
+ <blockpin signalname="D" name="I1" />
+ <blockpin signalname="C" name="I2" />
+ <blockpin signalname="XLXN_62" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_32">
+ <blockpin signalname="B_BAR" name="I0" />
+ <blockpin signalname="D_BAR" name="I1" />
+ <blockpin signalname="XLXN_63" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_33">
+ <blockpin signalname="C" name="I0" />
+ <blockpin signalname="D_BAR" name="I1" />
+ <blockpin signalname="XLXN_64" name="O" />
+ </block>
+ <block symbolname="or5" name="XLXI_34">
+ <blockpin signalname="XLXN_65" name="I0" />
+ <blockpin signalname="XLXN_64" name="I1" />
+ <blockpin signalname="XLXN_63" name="I2" />
+ <blockpin signalname="XLXN_62" name="I3" />
+ <blockpin signalname="XLXN_61" name="I4" />
+ <blockpin signalname="XLXN_149" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_35">
+ <blockpin signalname="B_BAR" name="I0" />
+ <blockpin signalname="A" name="I1" />
+ <blockpin signalname="XLXN_65" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_37">
+ <blockpin signalname="A" name="I" />
+ <blockpin signalname="A_BAR" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_38">
+ <blockpin signalname="B" name="I" />
+ <blockpin signalname="B_BAR" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_39">
+ <blockpin signalname="C" name="I" />
+ <blockpin signalname="C_BAR" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_40">
+ <blockpin signalname="D" name="I" />
+ <blockpin signalname="D_BAR" name="O" />
+ </block>
+ <block symbolname="or4" name="XLXI_41">
+ <blockpin signalname="XLXN_94" name="I0" />
+ <blockpin signalname="XLXN_93" name="I1" />
+ <blockpin signalname="XLXN_92" name="I2" />
+ <blockpin signalname="XLXN_91" name="I3" />
+ <blockpin signalname="XLXN_151" name="O" />
+ </block>
+ <block symbolname="xnor2" name="XLXI_42">
+ <blockpin signalname="B" name="I0" />
+ <blockpin signalname="A" name="I1" />
+ <blockpin signalname="XLXN_91" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_43">
+ <blockpin signalname="D_BAR" name="I0" />
+ <blockpin signalname="C_BAR" name="I1" />
+ <blockpin signalname="XLXN_92" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_44">
+ <blockpin signalname="B_BAR" name="I0" />
+ <blockpin signalname="C_BAR" name="I1" />
+ <blockpin signalname="XLXN_93" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_45">
+ <blockpin signalname="A_BAR" name="I0" />
+ <blockpin signalname="D" name="I1" />
+ <blockpin signalname="C" name="I2" />
+ <blockpin signalname="XLXN_94" name="O" />
+ </block>
+ <block symbolname="xor2" name="XLXI_46">
+ <blockpin signalname="B" name="I0" />
+ <blockpin signalname="A" name="I1" />
+ <blockpin signalname="XLXN_105" name="O" />
+ </block>
+ <block symbolname="or3" name="XLXI_47">
+ <blockpin signalname="D" name="I0" />
+ <blockpin signalname="C_BAR" name="I1" />
+ <blockpin signalname="XLXN_105" name="I2" />
+ <blockpin signalname="XLXN_155" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_48">
+ <blockpin signalname="D_BAR" name="I0" />
+ <blockpin signalname="C" name="I1" />
+ <blockpin signalname="XLXN_125" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_49">
+ <blockpin signalname="D_BAR" name="I0" />
+ <blockpin signalname="B_BAR" name="I1" />
+ <blockpin signalname="XLXN_126" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_50">
+ <blockpin signalname="C" name="I0" />
+ <blockpin signalname="B_BAR" name="I1" />
+ <blockpin signalname="XLXN_113" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_51">
+ <blockpin signalname="C_BAR" name="I0" />
+ <blockpin signalname="D" name="I1" />
+ <blockpin signalname="B" name="I2" />
+ <blockpin signalname="XLXN_114" name="O" />
+ </block>
+ <block symbolname="or4" name="XLXI_52">
+ <blockpin signalname="XLXN_114" name="I0" />
+ <blockpin signalname="XLXN_113" name="I1" />
+ <blockpin signalname="XLXN_126" name="I2" />
+ <blockpin signalname="XLXN_125" name="I3" />
+ <blockpin signalname="XLXN_156" name="O" />
+ </block>
+ <block symbolname="or2" name="XLXI_53">
+ <blockpin signalname="XLXN_126" name="I0" />
+ <blockpin signalname="XLXN_125" name="I1" />
+ <blockpin signalname="XLXN_158" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_54">
+ <blockpin signalname="C_BAR" name="I0" />
+ <blockpin signalname="B" name="I1" />
+ <blockpin signalname="A_BAR" name="I2" />
+ <blockpin signalname="XLXN_128" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_55">
+ <blockpin signalname="C" name="I0" />
+ <blockpin signalname="B_BAR" name="I1" />
+ <blockpin signalname="A" name="I2" />
+ <blockpin signalname="XLXN_129" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_56">
+ <blockpin signalname="D_BAR" name="I0" />
+ <blockpin signalname="B" name="I1" />
+ <blockpin signalname="A_BAR" name="I2" />
+ <blockpin signalname="XLXN_130" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_57">
+ <blockpin signalname="D_BAR" name="I0" />
+ <blockpin signalname="C_BAR" name="I1" />
+ <blockpin signalname="XLXN_131" name="O" />
+ </block>
+ <block symbolname="or4" name="XLXI_58">
+ <blockpin signalname="XLXN_131" name="I0" />
+ <blockpin signalname="XLXN_130" name="I1" />
+ <blockpin signalname="XLXN_129" name="I2" />
+ <blockpin signalname="XLXN_128" name="I3" />
+ <blockpin signalname="XLXN_160" name="O" />
+ </block>
+ <block symbolname="or4" name="XLXI_60">
+ <blockpin signalname="XLXN_148" name="I0" />
+ <blockpin signalname="XLXN_147" name="I1" />
+ <blockpin signalname="XLXN_146" name="I2" />
+ <blockpin signalname="XLXN_145" name="I3" />
+ <blockpin signalname="XLXN_162" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_61">
+ <blockpin signalname="C_BAR" name="I0" />
+ <blockpin signalname="B" name="I1" />
+ <blockpin signalname="XLXN_145" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_62">
+ <blockpin signalname="D_BAR" name="I0" />
+ <blockpin signalname="C" name="I1" />
+ <blockpin signalname="XLXN_146" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_63">
+ <blockpin signalname="B_BAR" name="I0" />
+ <blockpin signalname="C" name="I1" />
+ <blockpin signalname="XLXN_147" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_64">
+ <blockpin signalname="D_BAR" name="I0" />
+ <blockpin signalname="A" name="I1" />
+ <blockpin signalname="XLXN_148" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_65">
+ <blockpin signalname="XLXN_149" name="I" />
+ <blockpin signalname="a_out" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_66">
+ <blockpin signalname="XLXN_151" name="I" />
+ <blockpin signalname="b_out" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_67">
+ <blockpin signalname="XLXN_155" name="I" />
+ <blockpin signalname="c_out" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_68">
+ <blockpin signalname="XLXN_158" name="I" />
+ <blockpin signalname="e_out" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_69">
+ <blockpin signalname="XLXN_156" name="I" />
+ <blockpin signalname="d_out" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_70">
+ <blockpin signalname="XLXN_160" name="I" />
+ <blockpin signalname="f_out" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_71">
+ <blockpin signalname="XLXN_162" name="I" />
+ <blockpin signalname="g_out" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_72">
+ <blockpin signalname="A_BAR" name="I" />
+ <blockpin signalname="XLXN_165" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_73">
+ <blockpin signalname="XLXN_165" name="I" />
+ <blockpin signalname="sign" name="O" />
+ </block>
+ <block symbolname="buf" name="XLXI_5">
+ <blockpin signalname="XLXN_14" name="I" />
+ <blockpin signalname="AN0" name="O" />
+ </block>
+ <block symbolname="buf" name="XLXI_6">
+ <blockpin signalname="XLXN_14" name="I" />
+ <blockpin signalname="AN1" name="O" />
+ </block>
+ <block symbolname="buf" name="XLXI_7">
+ <blockpin signalname="XLXN_14" name="I" />
+ <blockpin signalname="AN2" name="O" />
+ </block>
+ <block symbolname="buf" name="XLXI_8">
+ <blockpin signalname="XLXN_24" name="I" />
+ <blockpin signalname="AN3" name="O" />
+ </block>
+ <block symbolname="gnd" name="XLXI_11">
+ <blockpin signalname="XLXN_24" name="G" />
+ </block>
+ <block symbolname="vcc" name="XLXI_12">
+ <blockpin signalname="XLXN_14" name="P" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <instance x="400" y="272" name="XLXI_30" orien="R0" />
+ <instance x="400" y="496" name="XLXI_31" orien="R0" />
+ <instance x="384" y="656" name="XLXI_32" orien="R0" />
+ <instance x="384" y="864" name="XLXI_33" orien="R0" />
+ <instance x="800" y="608" name="XLXI_34" orien="R0" />
+ <instance x="416" y="1056" name="XLXI_35" orien="R0" />
+ <branch name="XLXN_61">
+ <wire x2="800" y1="144" y2="144" x1="656" />
+ <wire x2="800" y1="144" y2="288" x1="800" />
+ </branch>
+ <branch name="XLXN_62">
+ <wire x2="720" y1="368" y2="368" x1="656" />
+ <wire x2="720" y1="352" y2="368" x1="720" />
+ <wire x2="800" y1="352" y2="352" x1="720" />
+ </branch>
+ <branch name="XLXN_63">
+ <wire x2="720" y1="560" y2="560" x1="640" />
+ <wire x2="720" y1="416" y2="560" x1="720" />
+ <wire x2="800" y1="416" y2="416" x1="720" />
+ </branch>
+ <branch name="XLXN_64">
+ <wire x2="736" y1="768" y2="768" x1="640" />
+ <wire x2="736" y1="480" y2="768" x1="736" />
+ <wire x2="800" y1="480" y2="480" x1="736" />
+ </branch>
+ <branch name="XLXN_65">
+ <wire x2="800" y1="960" y2="960" x1="672" />
+ <wire x2="800" y1="544" y2="960" x1="800" />
+ </branch>
+ <instance x="384" y="1280" name="XLXI_37" orien="R0" />
+ <instance x="384" y="1424" name="XLXI_38" orien="R0" />
+ <instance x="384" y="1568" name="XLXI_39" orien="R0" />
+ <instance x="384" y="1712" name="XLXI_40" orien="R0" />
+ <branch name="A">
+ <wire x2="384" y1="1248" y2="1248" x1="304" />
+ </branch>
+ <branch name="B">
+ <wire x2="384" y1="1392" y2="1392" x1="304" />
+ </branch>
+ <branch name="C">
+ <wire x2="384" y1="1536" y2="1536" x1="304" />
+ </branch>
+ <branch name="D">
+ <wire x2="384" y1="1680" y2="1680" x1="320" />
+ </branch>
+ <branch name="B_BAR">
+ <wire x2="688" y1="1392" y2="1392" x1="608" />
+ </branch>
+ <branch name="C_BAR">
+ <wire x2="688" y1="1536" y2="1536" x1="608" />
+ </branch>
+ <branch name="D_BAR">
+ <wire x2="688" y1="1680" y2="1680" x1="608" />
+ </branch>
+ <iomarker fontsize="28" x="304" y="1248" name="A" orien="R180" />
+ <iomarker fontsize="28" x="304" y="1392" name="B" orien="R180" />
+ <iomarker fontsize="28" x="304" y="1536" name="C" orien="R180" />
+ <iomarker fontsize="28" x="320" y="1680" name="D" orien="R180" />
+ <branch name="D">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="304" y="80" type="branch" />
+ <wire x2="400" y1="80" y2="80" x1="304" />
+ </branch>
+ <branch name="C_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="304" y="144" type="branch" />
+ <wire x2="400" y1="144" y2="144" x1="304" />
+ </branch>
+ <branch name="B">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="320" y="224" type="branch" />
+ <wire x2="400" y1="224" y2="224" x1="320" />
+ <wire x2="400" y1="208" y2="224" x1="400" />
+ </branch>
+ <branch name="C">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="304" y="304" type="branch" />
+ <wire x2="400" y1="304" y2="304" x1="304" />
+ </branch>
+ <branch name="D">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="304" y="368" type="branch" />
+ <wire x2="400" y1="368" y2="368" x1="304" />
+ </branch>
+ <branch name="A_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="304" y="432" type="branch" />
+ <wire x2="400" y1="432" y2="432" x1="304" />
+ </branch>
+ <branch name="D_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="304" y="528" type="branch" />
+ <wire x2="384" y1="528" y2="528" x1="304" />
+ </branch>
+ <branch name="B_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="304" y="592" type="branch" />
+ <wire x2="384" y1="592" y2="592" x1="304" />
+ </branch>
+ <branch name="D_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="320" y="736" type="branch" />
+ <wire x2="384" y1="736" y2="736" x1="320" />
+ </branch>
+ <branch name="C">
+ <attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="320" y="800" type="branch" />
+ <wire x2="384" y1="800" y2="800" x1="320" />
+ <wire x2="320" y1="800" y2="816" x1="320" />
+ </branch>
+ <branch name="A">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="336" y="928" type="branch" />
+ <wire x2="416" y1="928" y2="928" x1="336" />
+ </branch>
+ <branch name="B_BAR">
+ <attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="336" y="992" type="branch" />
+ <wire x2="416" y1="992" y2="992" x1="336" />
+ <wire x2="336" y1="992" y2="1008" x1="336" />
+ </branch>
+ <instance x="1968" y="528" name="XLXI_41" orien="R0" />
+ <instance x="1440" y="336" name="XLXI_42" orien="R0" />
+ <instance x="1456" y="528" name="XLXI_43" orien="R0" />
+ <instance x="1456" y="704" name="XLXI_44" orien="R0" />
+ <instance x="1456" y="944" name="XLXI_45" orien="R0" />
+ <branch name="XLXN_91">
+ <wire x2="1968" y1="240" y2="240" x1="1696" />
+ <wire x2="1968" y1="240" y2="272" x1="1968" />
+ </branch>
+ <branch name="XLXN_92">
+ <wire x2="1840" y1="432" y2="432" x1="1712" />
+ <wire x2="1840" y1="336" y2="432" x1="1840" />
+ <wire x2="1968" y1="336" y2="336" x1="1840" />
+ </branch>
+ <branch name="XLXN_93">
+ <wire x2="1856" y1="608" y2="608" x1="1712" />
+ <wire x2="1856" y1="400" y2="608" x1="1856" />
+ <wire x2="1968" y1="400" y2="400" x1="1856" />
+ </branch>
+ <branch name="XLXN_94">
+ <wire x2="1968" y1="816" y2="816" x1="1712" />
+ <wire x2="1968" y1="464" y2="816" x1="1968" />
+ </branch>
+ <branch name="A">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1264" y="208" type="branch" />
+ <wire x2="1440" y1="208" y2="208" x1="1264" />
+ </branch>
+ <branch name="B">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1280" y="272" type="branch" />
+ <wire x2="1440" y1="272" y2="272" x1="1280" />
+ </branch>
+ <branch name="C_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1376" y="400" type="branch" />
+ <wire x2="1456" y1="400" y2="400" x1="1376" />
+ </branch>
+ <branch name="D_BAR">
+ <attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1392" y="464" type="branch" />
+ <wire x2="1456" y1="464" y2="464" x1="1392" />
+ <wire x2="1392" y1="464" y2="480" x1="1392" />
+ </branch>
+ <branch name="C_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="576" type="branch" />
+ <wire x2="1456" y1="576" y2="576" x1="1344" />
+ </branch>
+ <branch name="B_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="640" type="branch" />
+ <wire x2="1456" y1="640" y2="640" x1="1344" />
+ </branch>
+ <branch name="C">
+ <attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1360" y="752" type="branch" />
+ <wire x2="1456" y1="752" y2="752" x1="1360" />
+ <wire x2="1360" y1="752" y2="768" x1="1360" />
+ </branch>
+ <branch name="D">
+ <attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="816" type="branch" />
+ <wire x2="1456" y1="816" y2="816" x1="1344" />
+ <wire x2="1344" y1="816" y2="832" x1="1344" />
+ </branch>
+ <branch name="A_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1360" y="880" type="branch" />
+ <wire x2="1456" y1="880" y2="880" x1="1360" />
+ </branch>
+ <instance x="1312" y="1232" name="XLXI_46" orien="R0" />
+ <instance x="1696" y="1312" name="XLXI_47" orien="R0" />
+ <branch name="XLXN_105">
+ <wire x2="1632" y1="1136" y2="1136" x1="1568" />
+ <wire x2="1632" y1="1120" y2="1136" x1="1632" />
+ <wire x2="1696" y1="1120" y2="1120" x1="1632" />
+ </branch>
+ <branch name="C_BAR">
+ <attrtext style="alignment:SOFT-BCENTER;fontsize:28;fontname:Arial" attrname="Name" x="1584" y="1184" type="branch" />
+ <wire x2="1696" y1="1184" y2="1184" x1="1584" />
+ <wire x2="1584" y1="1184" y2="1200" x1="1584" />
+ </branch>
+ <branch name="D">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1552" y="1264" type="branch" />
+ <wire x2="1696" y1="1264" y2="1264" x1="1552" />
+ <wire x2="1696" y1="1248" y2="1264" x1="1696" />
+ </branch>
+ <branch name="A">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1232" y="1104" type="branch" />
+ <wire x2="1312" y1="1104" y2="1104" x1="1232" />
+ </branch>
+ <branch name="B">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1232" y="1168" type="branch" />
+ <wire x2="1312" y1="1168" y2="1168" x1="1232" />
+ </branch>
+ <instance x="1408" y="1520" name="XLXI_48" orien="R0" />
+ <instance x="1424" y="1712" name="XLXI_49" orien="R0" />
+ <instance x="1440" y="1904" name="XLXI_50" orien="R0" />
+ <instance x="1456" y="2128" name="XLXI_51" orien="R0" />
+ <instance x="1840" y="1776" name="XLXI_52" orien="R0" />
+ <branch name="XLXN_113">
+ <wire x2="1760" y1="1808" y2="1808" x1="1696" />
+ <wire x2="1760" y1="1648" y2="1808" x1="1760" />
+ <wire x2="1840" y1="1648" y2="1648" x1="1760" />
+ </branch>
+ <branch name="XLXN_114">
+ <wire x2="1840" y1="2000" y2="2000" x1="1712" />
+ <wire x2="1840" y1="1712" y2="2000" x1="1840" />
+ </branch>
+ <branch name="C">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1328" y="1392" type="branch" />
+ <wire x2="1408" y1="1392" y2="1392" x1="1328" />
+ </branch>
+ <branch name="D_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="1456" type="branch" />
+ <wire x2="1408" y1="1456" y2="1456" x1="1344" />
+ </branch>
+ <branch name="B_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="1584" type="branch" />
+ <wire x2="1424" y1="1584" y2="1584" x1="1344" />
+ </branch>
+ <branch name="D_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1328" y="1648" type="branch" />
+ <wire x2="1424" y1="1648" y2="1648" x1="1328" />
+ </branch>
+ <branch name="B_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="1776" type="branch" />
+ <wire x2="1440" y1="1776" y2="1776" x1="1344" />
+ </branch>
+ <branch name="C">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1360" y="1840" type="branch" />
+ <wire x2="1440" y1="1840" y2="1840" x1="1360" />
+ </branch>
+ <branch name="B">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="1936" type="branch" />
+ <wire x2="1456" y1="1936" y2="1936" x1="1344" />
+ </branch>
+ <branch name="D">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1344" y="2000" type="branch" />
+ <wire x2="1456" y1="2000" y2="2000" x1="1344" />
+ </branch>
+ <branch name="C_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="1360" y="2064" type="branch" />
+ <wire x2="1456" y1="2064" y2="2064" x1="1360" />
+ </branch>
+ <instance x="2288" y="1456" name="XLXI_53" orien="R0" />
+ <branch name="XLXN_125">
+ <wire x2="1840" y1="1424" y2="1424" x1="1664" />
+ <wire x2="1840" y1="1424" y2="1520" x1="1840" />
+ <wire x2="2288" y1="1328" y2="1328" x1="1840" />
+ <wire x2="1840" y1="1328" y2="1424" x1="1840" />
+ </branch>
+ <branch name="XLXN_126">
+ <wire x2="1760" y1="1616" y2="1616" x1="1680" />
+ <wire x2="2288" y1="1392" y2="1392" x1="1760" />
+ <wire x2="1760" y1="1392" y2="1584" x1="1760" />
+ <wire x2="1760" y1="1584" y2="1616" x1="1760" />
+ <wire x2="1840" y1="1584" y2="1584" x1="1760" />
+ </branch>
+ <instance x="2640" y="304" name="XLXI_54" orien="R0" />
+ <instance x="2656" y="544" name="XLXI_55" orien="R0" />
+ <instance x="2672" y="784" name="XLXI_56" orien="R0" />
+ <instance x="2640" y="960" name="XLXI_57" orien="R0" />
+ <instance x="3024" y="624" name="XLXI_58" orien="R0" />
+ <branch name="XLXN_128">
+ <wire x2="3024" y1="176" y2="176" x1="2896" />
+ <wire x2="3024" y1="176" y2="368" x1="3024" />
+ </branch>
+ <branch name="XLXN_129">
+ <wire x2="2960" y1="416" y2="416" x1="2912" />
+ <wire x2="2960" y1="416" y2="432" x1="2960" />
+ <wire x2="3024" y1="432" y2="432" x1="2960" />
+ </branch>
+ <branch name="XLXN_130">
+ <wire x2="2976" y1="656" y2="656" x1="2928" />
+ <wire x2="2976" y1="496" y2="656" x1="2976" />
+ <wire x2="3024" y1="496" y2="496" x1="2976" />
+ </branch>
+ <branch name="XLXN_131">
+ <wire x2="3024" y1="864" y2="864" x1="2896" />
+ <wire x2="3024" y1="560" y2="864" x1="3024" />
+ </branch>
+ <branch name="A_BAR">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="2560" y="112" type="branch" />
+ <wire x2="2640" y1="112" y2="112" x1="2560" />
+ </branch>
+ <branch name="B">
+ <attrtext style="alignment:SOFT-RIGHT;fontsize:28;fontname:Arial" attrname="Name" x="2560" y="176" type=