summaryrefslogtreecommitdiff
path: root/ALU_xst.xrpt
diff options
context:
space:
mode:
Diffstat (limited to 'ALU_xst.xrpt')
-rwxr-xr-xALU_xst.xrpt190
1 files changed, 190 insertions, 0 deletions
diff --git a/ALU_xst.xrpt b/ALU_xst.xrpt
new file mode 100755
index 0000000..9b982ba
--- /dev/null
+++ b/ALU_xst.xrpt
@@ -0,0 +1,190 @@
+<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
+<document OS="nt64" product="ISE" version="13.3">
+
+ <!--The data in this file is primarily intended for consumption by Xilinx tools.
+ The structure and the elements are likely to change over the next few releases.
+ This means code written to parse this file will need to be revisited each subsequent release.-->
+
+ <application stringID="Xst" timeStamp="Wed Feb 15 15:15:31 2012">
+ <section stringID="User_Env">
+ <table stringID="User_EnvVar">
+ <column stringID="variable"/>
+ <column stringID="value"/>
+ <row stringID="row" value="0">
+ <item stringID="variable" value="PATH"/>
+ <item stringID="value" value="C:\Xilinx\13.3\ISE_DS\ISE\\lib\nt64;C:\Xilinx\13.3\ISE_DS\ISE\\bin\nt64;C:\Xilinx\13.3\ISE_DS\PlanAhead\bin;C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64;C:\Xilinx\13.3\ISE_DS\ISE\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\bin\nt64;C:\Xilinx\13.3\ISE_DS\EDK\lib\nt64;C:\Xilinx\13.3\ISE_DS\EDK\gnu\microblaze\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;C:\Xilinx\13.3\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\13.3\ISE_DS\common\bin\nt64;C:\Xilinx\13.3\ISE_DS\common\lib\nt64;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\Program Files\MATLAB\R2011a\runtime\win64;C:\Program Files\MATLAB\R2011a\bin;C:\VXIPNP\WinNT\Bin;C:\Program Files (x86)\Altium Designer Summer 09\System;C:\Program Files (x86)\QuickTime\QTSystem\;C:\Program Files\NetBeans 7.0.1\java\ant\bin;C:\Program Files\Java\jdk1.6.0_27\bin;C:\Program Files\TortoiseSVN\bin;C:\Program Files (x86)\Rational\Rose RealTime\bin\win32;C:\Program Files (x86)\Rational\common;C:\Cadence\SPB_16.5\OpenAccess\bin\win32\opt;C:\Cadence\SPB_16.5\tools\Capture;C:\Cadence\SPB_16.5\tools\PSpice\Library;C:\Cadence\SPB_16.5\tools\PSpice;C:\Cadence\SPB_16.5\tools\specctra\bin;C:\Cadence\SPB_16.5\tools\fet\bin;C:\Cadence\SPB_16.5\tools\libutil\bin;C:\Cadence\SPB_16.5\tools\bin;C:\Cadence\SPB_16.5\tools\pcb\bin"/>
+ </row>
+ <row stringID="row" value="1">
+ <item stringID="variable" value="PATHEXT"/>
+ <item stringID="value" value=".COM;.EXE;.BAT;.CMD;.VBS;.VBE;.JS;.JSE;.WSF;.WSH;.MSC"/>
+ </row>
+ <row stringID="row" value="2">
+ <item stringID="variable" value="XILINX"/>
+ <item stringID="value" value="C:\Xilinx\13.3\ISE_DS\ISE\"/>
+ </row>
+ <row stringID="row" value="3">
+ <item stringID="variable" value="XILINXD_LICENSE_FILE"/>
+ <item stringID="value" value="2100@XilinxLM.bu.edu"/>
+ </row>
+ <row stringID="row" value="4">
+ <item stringID="variable" value="XILINX_DSP"/>
+ <item stringID="value" value="C:\Xilinx\13.3\ISE_DS\ISE"/>
+ </row>
+ <row stringID="row" value="5">
+ <item stringID="variable" value="XILINX_EDK"/>
+ <item stringID="value" value="C:\Xilinx\13.3\ISE_DS\EDK"/>
+ </row>
+ <row stringID="row" value="6">
+ <item stringID="variable" value="XILINX_PLANAHEAD"/>
+ <item stringID="value" value="C:\Xilinx\13.3\ISE_DS\PlanAhead"/>
+ </row>
+ </table>
+ <item stringID="User_EnvOs" value="OS Information">
+ <item stringID="User_EnvOsname" value="Microsoft Windows 7 , 64-bit"/>
+ <item stringID="User_EnvOsrelease" value="Service Pack 1 (build 7601)"/>
+ </item>
+ <item stringID="User_EnvHost" value="ECE-PHO115-09"/>
+ <table stringID="User_EnvCpu">
+ <column stringID="arch"/>
+ <column stringID="speed"/>
+ <row stringID="row" value="0">
+ <item stringID="arch" value="Intel(R) Core(TM)2 Duo CPU E8200 @ 2.66GHz"/>
+ <item stringID="speed" value="2660 MHz"/>
+ </row>
+ </table>
+ </section>
+ <section stringID="XST_OPTION_SUMMARY">
+ <item DEFAULT="" label="-ifn" stringID="XST_IFN" value="ALU.prj"/>
+ <item DEFAULT="" label="-ofn" stringID="XST_OFN" value="ALU"/>
+ <item DEFAULT="NGC" label="-ofmt" stringID="XST_OFMT" value="NGC"/>
+ <item DEFAULT="" label="-p" stringID="XST_P" value="xc6slx16-3-csg324"/>
+ <item DEFAULT="" label="-top" stringID="XST_TOP" value="ALU"/>
+ <item DEFAULT="Speed" label="-opt_mode" stringID="XST_OPTMODE" value="Speed"/>
+ <item DEFAULT="1" label="-opt_level" stringID="XST_OPTLEVEL" value="1"/>
+ <item DEFAULT="No" label="-power" stringID="XST_POWER" value="NO"/>
+ <item DEFAULT="No" label="-iuc" stringID="XST_IUC" value="NO"/>
+ <item DEFAULT="No" label="-keep_hierarchy" stringID="XST_KEEPHIERARCHY" value="No"/>
+ <item DEFAULT="As_Optimized" label="-netlist_hierarchy" stringID="XST_NETLISTHIERARCHY" value="As_Optimized"/>
+ <item DEFAULT="No" label="-rtlview" stringID="XST_RTLVIEW" value="Yes"/>
+ <item DEFAULT="AllClockNets" label="-glob_opt" stringID="XST_GLOBOPT" value="AllClockNets"/>
+ <item DEFAULT="Yes" label="-read_cores" stringID="XST_READCORES" value="YES"/>
+ <item DEFAULT="No" label="-write_timing_constraints" stringID="XST_WRITETIMINGCONSTRAINTS" value="NO"/>
+ <item DEFAULT="No" label="-cross_clock_analysis" stringID="XST_CROSSCLOCKANALYSIS" value="NO"/>
+ <item DEFAULT="/" stringID="XST_HIERARCHYSEPARATOR" value="/"/>
+ <item DEFAULT="&lt;>" label="-bus_delimiter" stringID="XST_BUSDELIMITER" value="&lt;>"/>
+ <item DEFAULT="Maintain" stringID="XST_CASE" value="Maintain"/>
+ <item DEFAULT="100" label="-slice_utilization_ratio" stringID="XST_SLICEUTILIZATIONRATIO" value="100"/>
+ <item DEFAULT="100" label="-bram_utilization_ratio" stringID="XST_BRAMUTILIZATIONRATIO" value="100"/>
+ <item DEFAULT="100" label="-dsp_utilization_ratio" stringID="XST_DSPUTILIZATIONRATIO" value="100"/>
+ <item DEFAULT="Auto" stringID="XST_LC" value="Auto"/>
+ <item DEFAULT="Auto" label="-reduce_control_sets" stringID="XST_REDUCECONTROLSETS" value="Auto"/>
+ <item DEFAULT="Yes" label="-fsm_extract" stringID="XST_FSMEXTRACT" value="YES"/>
+ <item DEFAULT="Auto" label="-fsm_encoding" stringID="XST_FSMENCODING" value="Auto"/>
+ <item DEFAULT="No" label="-safe_implementation" stringID="XST_SAFEIMPLEMENTATION" value="No"/>
+ <item DEFAULT="LUT" label="-fsm_style" stringID="XST_FSMSTYLE" value="LUT"/>
+ <item DEFAULT="Yes" label="-ram_extract" stringID="XST_RAMEXTRACT" value="Yes"/>
+ <item DEFAULT="Auto" label="-ram_style" stringID="XST_RAMSTYLE" value="Auto"/>
+ <item DEFAULT="Yes" label="-rom_extract" stringID="XST_ROMEXTRACT" value="Yes"/>
+ <item DEFAULT="Yes" label="-shreg_extract" stringID="XST_SHREGEXTRACT" value="YES"/>
+ <item DEFAULT="Auto" label="-rom_style" stringID="XST_ROMSTYLE" value="Auto"/>
+ <item DEFAULT="No" label="-auto_bram_packing" stringID="XST_AUTOBRAMPACKING" value="NO"/>
+ <item DEFAULT="Yes" label="-resource_sharing" stringID="XST_RESOURCESHARING" value="YES"/>
+ <item DEFAULT="No" label="-async_to_sync" stringID="XST_ASYNCTOSYNC" value="NO"/>
+ <item DEFAULT="2" stringID="XST_SHREGMINSIZE" value="2"/>
+ <item DEFAULT="Auto" label="-use_dsp48" stringID="XST_USEDSP48" value="Auto"/>
+ <item DEFAULT="Yes" label="-iobuf" stringID="XST_IOBUF" value="YES"/>
+ <item DEFAULT="100000" label="-max_fanout" stringID="XST_MAXFANOUT" value="100000"/>
+ <item DEFAULT="16" label="-bufg" stringID="XST_BUFG" value="16"/>
+ <item DEFAULT="Yes" label="-register_duplication" stringID="XST_REGISTERDUPLICATION" value="YES"/>
+ <item DEFAULT="No" label="-register_balancing" stringID="XST_REGISTERBALANCING" value="No"/>
+ <item DEFAULT="No" label="-optimize_primitives" stringID="XST_OPTIMIZEPRIMITIVES" value="NO"/>
+ <item DEFAULT="Auto" label="-use_clock_enable" stringID="XST_USECLOCKENABLE" value="Auto"/>
+ <item DEFAULT="Auto" label="-use_sync_set" stringID="XST_USESYNCSET" value="Auto"/>
+ <item DEFAULT="Auto" label="-use_sync_reset" stringID="XST_USESYNCRESET" value="Auto"/>
+ <item DEFAULT="Auto" label="-iob" stringID="XST_IOB" value="Auto"/>
+ <item DEFAULT="Yes" label="-equivalent_register_removal" stringID="XST_EQUIVALENTREGISTERREMOVAL" value="YES"/>
+ <item DEFAULT="0" label="-slice_utilization_ratio_maxmargin" stringID="XST_SLICEUTILIZATIONRATIOMAXMARGIN" value="5"/>
+ </section>
+ <section stringID="XST_UNISIM_SUMMARY">
+ <item dataType="int" stringID="XST_NUM_AND2" value="1"/>
+ <item dataType="int" stringID="XST_NUM_AND3" value="1"/>
+ <item dataType="int" stringID="XST_NUM_AND4" value="1"/>
+ <item dataType="int" stringID="XST_NUM_BUF" value="1"/>
+ <item dataType="int" stringID="XST_NUM_GND" value="1"/>
+ <item dataType="int" stringID="XST_NUM_INV" value="1"/>
+ <item dataType="int" stringID="XST_NUM_NAND2" value="1"/>
+ <item dataType="int" stringID="XST_NUM_NAND3" value="1"/>
+ <item dataType="int" stringID="XST_NUM_NAND4" value="1"/>
+ <item dataType="int" stringID="XST_NUM_NOR3" value="1"/>
+ <item dataType="int" stringID="XST_NUM_OR2" value="1"/>
+ <item dataType="int" stringID="XST_NUM_OR3" value="1"/>
+ <item dataType="int" stringID="XST_NUM_OR4" value="1"/>
+ <item dataType="int" stringID="XST_NUM_OR5" value="1"/>
+ <item dataType="int" stringID="XST_NUM_VCC" value="1"/>
+ <item dataType="int" stringID="XST_NUM_XNOR2" value="1"/>
+ <item dataType="int" stringID="XST_NUM_XOR2" value="1"/>
+ </section>
+ <section stringID="XST_HDL_SYNTHESIS_REPORT">
+ <item dataType="int" stringID="XST_MULTIPLEXERS" value="8">
+ <item dataType="int" stringID="XST_1BIT_2TO1_MULTIPLEXER" value="4"/>
+ <item dataType="int" stringID="XST_1BIT_4TO1_MULTIPLEXER" value="4"/>
+ </item>
+ </section>
+ <section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT">
+ <item dataType="int" stringID="XST_MULTIPLEXERS" value="8">
+ <item dataType="int" stringID="XST_1BIT_2TO1_MULTIPLEXER" value="4"/>
+ <item dataType="int" stringID="XST_1BIT_4TO1_MULTIPLEXER" value="4"/>
+ </item>
+ </section>
+ <section stringID="XST_FINAL_REGISTER_REPORTFOUND_NO_MACRO"/>
+ <section stringID="XST_PARTITION_REPORT">
+ <section stringID="XST_PARTITION_IMPLEMENTATION_STATUS">
+ <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/>
+ </section>
+ </section>
+ <section stringID="XST_DESIGN_SUMMARY">
+ <section stringID="XST_">
+ <item stringID="XST_TOP_LEVEL_OUTPUT_FILE_NAME" value="ALU.ngc"/>
+ </section>
+ <section stringID="XST_PRIMITIVE_AND_BLACK_BOX_USAGE">
+ <item dataType="int" stringID="XST_BELS" value="125">
+ <item dataType="int" stringID="XST_AND2" value="22"/>
+ <item dataType="int" stringID="XST_AND3" value="21"/>
+ <item dataType="int" stringID="XST_AND4" value="2"/>
+ <item dataType="int" stringID="XST_BUF" value="4"/>
+ <item dataType="int" stringID="XST_GND" value="1"/>
+ <item dataType="int" stringID="XST_INV" value="45"/>
+ <item dataType="int" stringID="XST_LUT6" value="4"/>
+ <item dataType="int" stringID="XST_OR2" value="7"/>
+ <item dataType="int" stringID="XST_VCC" value="1"/>
+ <item dataType="int" stringID="XST_XOR2" value="1"/>
+ </item>
+ <item dataType="int" stringID="XST_IO_BUFFERS" value="18">
+ <item dataType="int" stringID="XST_IBUF" value="6"/>
+ <item dataType="int" stringID="XST_OBUF" value="12"/>
+ </item>
+ </section>
+ </section>
+ <section stringID="XST_DEVICE_UTILIZATION_SUMMARY">
+ <item stringID="XST_SELECTED_DEVICE" value="6slx16csg324-3"/>
+ <item AVAILABLE="9112" dataType="int" label="Number of Slice LUTs" stringID="XST_NUMBER_OF_SLICE_LUTS" value="49"/>
+ <item AVAILABLE="9112" dataType="int" label="Number used as Logic" stringID="XST_NUMBER_USED_AS_LOGIC" value="49"/>
+ <item dataType="int" label="Number of LUT Flip Flop pairs used" stringID="XST_NUMBER_OF_LUT_FLIP_FLOP_PAIRS_USED" value="49"/>
+ <item AVAILABLE="49" dataType="int" label="Number with an unused Flip Flop" stringID="XST_NUMBER_WITH_AN_UNUSED_FLIP_FLOP" value="49"/>
+ <item AVAILABLE="49" dataType="int" label="Number with an unused LUT" stringID="XST_NUMBER_WITH_AN_UNUSED_LUT" value="0"/>
+ <item AVAILABLE="49" dataType="int" label="Number of fully used LUT-FF pairs" stringID="XST_NUMBER_OF_FULLY_USED_LUTFF_PAIRS" value="0"/>
+ <item dataType="int" label="Number of unique control sets" stringID="XST_NUMBER_OF_UNIQUE_CONTROL_SETS" value="0"/>
+ <item dataType="int" label="Number of IOs" stringID="XST_NUMBER_OF_IOS" value="18"/>
+ <item AVAILABLE="232" dataType="int" label="Number of bonded IOBs" stringID="XST_NUMBER_OF_BONDED_IOBS" value="18"/>
+ </section>
+ <section stringID="XST_PARTITION_RESOURCE_SUMMARY">
+ <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/>
+ </section>
+ <section stringID="XST_ERRORS_STATISTICS">
+ <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/>
+ <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="2"/>
+ <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="0"/>
+ </section>
+ </application>
+
+</document>