summaryrefslogtreecommitdiff
path: root/Negate_1.sch
diff options
context:
space:
mode:
Diffstat (limited to 'Negate_1.sch')
-rwxr-xr-xNegate_1.sch168
1 files changed, 168 insertions, 0 deletions
diff --git a/Negate_1.sch b/Negate_1.sch
new file mode 100755
index 0000000..1c84aee
--- /dev/null
+++ b/Negate_1.sch
@@ -0,0 +1,168 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="XLXN_1" />
+ <signal name="XLXN_2" />
+ <signal name="XLXN_3" />
+ <signal name="XLXN_4" />
+ <signal name="XLXN_5" />
+ <signal name="XLXN_6" />
+ <signal name="result" />
+ <signal name="b0" />
+ <signal name="b1" />
+ <signal name="b2" />
+ <signal name="b3" />
+ <port polarity="Output" name="result" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Input" name="b2" />
+ <port polarity="Input" name="b3" />
+ <blockdef name="and2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
+ <line x2="64" y1="-48" y2="-48" x1="144" />
+ <line x2="144" y1="-144" y2="-144" x1="64" />
+ <line x2="64" y1="-48" y2="-144" x1="64" />
+ </blockdef>
+ <blockdef name="and3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <line x2="144" y1="-176" y2="-176" x1="64" />
+ <line x2="64" y1="-80" y2="-80" x1="144" />
+ <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
+ <line x2="64" y1="-64" y2="-192" x1="64" />
+ </blockdef>
+ <blockdef name="or3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="72" y1="-128" y2="-128" x1="0" />
+ <line x2="48" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
+ <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
+ <line x2="48" y1="-64" y2="-80" x1="48" />
+ <line x2="48" y1="-192" y2="-176" x1="48" />
+ <line x2="48" y1="-80" y2="-80" x1="112" />
+ <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
+ <line x2="48" y1="-176" y2="-176" x1="112" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <block symbolname="and2" name="XLXI_1">
+ <blockpin signalname="b1" name="I0" />
+ <blockpin signalname="XLXN_6" name="I1" />
+ <blockpin signalname="XLXN_2" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_2">
+ <blockpin signalname="XLXN_5" name="I0" />
+ <blockpin signalname="b0" name="I1" />
+ <blockpin signalname="XLXN_1" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_3">
+ <blockpin signalname="XLXN_4" name="I0" />
+ <blockpin signalname="XLXN_5" name="I1" />
+ <blockpin signalname="b3" name="I2" />
+ <blockpin signalname="XLXN_3" name="O" />
+ </block>
+ <block symbolname="or3" name="XLXI_4">
+ <blockpin signalname="XLXN_3" name="I0" />
+ <blockpin signalname="XLXN_1" name="I1" />
+ <blockpin signalname="XLXN_2" name="I2" />
+ <blockpin signalname="result" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_5">
+ <blockpin signalname="b2" name="I" />
+ <blockpin signalname="XLXN_4" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_6">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_5" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_7">
+ <blockpin signalname="b0" name="I" />
+ <blockpin signalname="XLXN_6" name="O" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <instance x="1616" y="1200" name="XLXI_1" orien="R0" />
+ <instance x="1632" y="1344" name="XLXI_2" orien="R0" />
+ <instance x="1616" y="1584" name="XLXI_3" orien="R0" />
+ <branch name="XLXN_1">
+ <wire x2="1920" y1="1248" y2="1248" x1="1888" />
+ </branch>
+ <instance x="1920" y="1376" name="XLXI_4" orien="R0" />
+ <branch name="XLXN_2">
+ <wire x2="1920" y1="1104" y2="1104" x1="1872" />
+ <wire x2="1920" y1="1104" y2="1184" x1="1920" />
+ </branch>
+ <branch name="XLXN_3">
+ <wire x2="1920" y1="1456" y2="1456" x1="1872" />
+ <wire x2="1920" y1="1312" y2="1456" x1="1920" />
+ </branch>
+ <branch name="XLXN_4">
+ <wire x2="1616" y1="1520" y2="1520" x1="1584" />
+ </branch>
+ <instance x="1360" y="1552" name="XLXI_5" orien="R0" />
+ <instance x="1312" y="1312" name="XLXI_6" orien="R0" />
+ <branch name="XLXN_5">
+ <wire x2="1552" y1="1280" y2="1280" x1="1536" />
+ <wire x2="1568" y1="1280" y2="1280" x1="1552" />
+ <wire x2="1632" y1="1280" y2="1280" x1="1568" />
+ <wire x2="1552" y1="1280" y2="1456" x1="1552" />
+ <wire x2="1568" y1="1456" y2="1456" x1="1552" />
+ <wire x2="1616" y1="1456" y2="1456" x1="1568" />
+ </branch>
+ <instance x="1312" y="1104" name="XLXI_7" orien="R0" />
+ <branch name="XLXN_6">
+ <wire x2="1584" y1="1072" y2="1072" x1="1536" />
+ <wire x2="1616" y1="1072" y2="1072" x1="1584" />
+ </branch>
+ <branch name="result">
+ <wire x2="2208" y1="1248" y2="1248" x1="2176" />
+ </branch>
+ <branch name="b0">
+ <wire x2="1296" y1="1072" y2="1072" x1="1232" />
+ <wire x2="1312" y1="1072" y2="1072" x1="1296" />
+ <wire x2="1296" y1="1072" y2="1216" x1="1296" />
+ <wire x2="1632" y1="1216" y2="1216" x1="1296" />
+ </branch>
+ <branch name="b1">
+ <wire x2="1264" y1="1280" y2="1280" x1="1184" />
+ <wire x2="1296" y1="1280" y2="1280" x1="1264" />
+ <wire x2="1312" y1="1280" y2="1280" x1="1296" />
+ <wire x2="1616" y1="1136" y2="1136" x1="1264" />
+ <wire x2="1264" y1="1136" y2="1280" x1="1264" />
+ </branch>
+ <branch name="b2">
+ <wire x2="1344" y1="1520" y2="1520" x1="1264" />
+ <wire x2="1360" y1="1520" y2="1520" x1="1344" />
+ </branch>
+ <branch name="b3">
+ <wire x2="1600" y1="1392" y2="1392" x1="1264" />
+ <wire x2="1616" y1="1392" y2="1392" x1="1600" />
+ </branch>
+ <iomarker fontsize="28" x="2208" y="1248" name="result" orien="R0" />
+ <iomarker fontsize="28" x="1264" y="1392" name="b3" orien="R180" />
+ <iomarker fontsize="28" x="1232" y="1072" name="b0" orien="R180" />
+ <iomarker fontsize="28" x="1264" y="1520" name="b2" orien="R180" />
+ <iomarker fontsize="28" x="1184" y="1280" name="b1" orien="R180" />
+ </sheet>
+</drawing> \ No newline at end of file