summaryrefslogtreecommitdiff
path: root/webtalk_pn.xml
diff options
context:
space:
mode:
authorMichael Abed <michaelabed@gmail.com>2012-02-17 12:10:31 -0500
committerMichael Abed <michaelabed@gmail.com>2012-02-17 12:10:31 -0500
commit59d89428d6160fb672c2b6a41339505cc69344d0 (patch)
treea774e809a31fc7eae7b0fd0777714c86ffedc9d6 /webtalk_pn.xml
parent0bdf2f0b18f7e2986336f8afc67fe18b8b382e7a (diff)
downloadec311-lab2-master.tar.gz
ec311-lab2-master.tar.bz2
ec311-lab2-master.zip
finish itHEADmaster
Diffstat (limited to 'webtalk_pn.xml')
-rwxr-xr-xwebtalk_pn.xml10
1 files changed, 7 insertions, 3 deletions
diff --git a/webtalk_pn.xml b/webtalk_pn.xml
index 7067f28..6f820c3 100755
--- a/webtalk_pn.xml
+++ b/webtalk_pn.xml
@@ -3,11 +3,11 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
-<application name="pn" timeStamp="Wed Feb 15 16:04:01 2012">
+<application name="pn" timeStamp="Thu Feb 16 21:15:43 2012">
<section name="Project Information" visible="false">
<property name="ProjectID" value="CFA30C52A63E43D8A2FABC7B29B0C236" type="project"/>
-<property name="ProjectIteration" value="0" type="project"/>
-<property name="ProjectFile" value="X:/My Documents/ec311/lab2/lab2.xise" type="project"/>
+<property name="ProjectIteration" value="11" type="project"/>
+<property name="ProjectFile" value="X:/My Documents/ec311/ec311-lab2/lab2.xise" type="project"/>
<property name="ProjectCreationTimestamp" value="2012-02-15T15:29:59" type="project"/>
</section>
<section name="Project Statistics" visible="true">
@@ -16,6 +16,7 @@ This means code written to parse this file will need to be revisited each subseq
<property name="PROP_LastAppliedGoal" value="Balanced" type="design"/>
<property name="PROP_LastAppliedStrategy" value="Xilinx Default (unlocked)" type="design"/>
<property name="PROP_ManualCompileOrderImp" value="false" type="design"/>
+<property name="PROP_OverwriteSym" value="true" type="process"/>
<property name="PROP_PropSpecInProjFile" value="Store all values" type="design"/>
<property name="PROP_Simulator" value="ISim (VHDL/Verilog)" type="design"/>
<property name="PROP_SynthTopFile" value="changed" type="process"/>
@@ -24,9 +25,12 @@ This means code written to parse this file will need to be revisited each subseq
<property name="PROP_UserConstraintEditorPreference" value="Text Editor" type="process"/>
<property name="PROP_intProjectCreationTimestamp" value="2012-02-15T15:29:59" type="design"/>
<property name="PROP_intWbtProjectID" value="CFA30C52A63E43D8A2FABC7B29B0C236" type="design"/>
+<property name="PROP_intWbtProjectIteration" value="11" type="process"/>
<property name="PROP_intWorkingDirLocWRTProjDir" value="Same" type="design"/>
<property name="PROP_intWorkingDirUsed" value="No" type="design"/>
<property name="PROP_lockPinsUcfFile" value="changed" type="process"/>
+<property name="PROP_xilxBitgCfg_GenOpt_ReadBack" value="true" type="process"/>
+<property name="PROP_xilxBitgStart_Clk" value="JTAG Clock" type="process"/>
<property name="PROP_AutoTop" value="true" type="design"/>
<property name="PROP_DevFamily" value="Spartan6" type="design"/>
<property name="PROP_DevDevice" value="xc6slx16" type="design"/>