summaryrefslogtreecommitdiff
path: root/iseconfig/lab2.projectmgr
diff options
context:
space:
mode:
Diffstat (limited to 'iseconfig/lab2.projectmgr')
-rwxr-xr-xiseconfig/lab2.projectmgr214
1 files changed, 108 insertions, 106 deletions
diff --git a/iseconfig/lab2.projectmgr b/iseconfig/lab2.projectmgr
index c48c152..3366de8 100755
--- a/iseconfig/lab2.projectmgr
+++ b/iseconfig/lab2.projectmgr
@@ -1,106 +1,108 @@
-<?xml version='1.0' encoding='utf-8'?>
-<!--This is an ISE project configuration file.-->
-<!--It holds project specific layout data for the projectmgr plugin.-->
-<!--Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.-->
-<Project version="2" owner="projectmgr" name="lab2" >
- <!--This is an ISE project configuration file.-->
- <ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
- <ClosedNodes>
- <ClosedNodesVersion>2</ClosedNodesVersion>
- </ClosedNodes>
- <SelectedItems>
- <SelectedItem>ALUSHOW (/home/michael/Documents/School/EC311/lab2/ALUSHOW.sch)</SelectedItem>
- </SelectedItems>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000150000000020000000000000000000000000200000064ffffffff000000810000000300000002000001500000000100000003000000000000000100000003</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
- <CurrentItem>ALUSHOW (/home/michael/Documents/School/EC311/lab2/ALUSHOW.sch)</CurrentItem>
- </ItemView>
- <ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
- <ClosedNodes>
- <ClosedNodesVersion>1</ClosedNodesVersion>
- <ClosedNode>Design Utilities</ClosedNode>
- </ClosedNodes>
- <SelectedItems>
- <SelectedItem>Design Utilities</SelectedItem>
- </SelectedItems>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000132000000010000000100000000000000000000000064ffffffff000000810000000000000001000001320000000100000000</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem>Design Utilities</CurrentItem>
- </ItemView>
- <ItemView guiview="File" >
- <ClosedNodes>
- <ClosedNodesVersion>1</ClosedNodesVersion>
- </ClosedNodes>
- <SelectedItems/>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000287000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000001bb0000000100000000</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem>ALU.v</CurrentItem>
- </ItemView>
- <ItemView guiview="Library" >
- <ClosedNodes>
- <ClosedNodesVersion>1</ClosedNodesVersion>
- <ClosedNode>work</ClosedNode>
- </ClosedNodes>
- <SelectedItems/>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000117000000010001000100000000000000000000000064ffffffff000000810000000000000001000001170000000100000000</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem>work</CurrentItem>
- </ItemView>
- <ItemView engineview="SynthesisOnly" sourcetype="DESUT_VERILOG" guiview="Process" >
- <ClosedNodes>
- <ClosedNodesVersion>1</ClosedNodesVersion>
- <ClosedNode>Configure Target Device</ClosedNode>
- <ClosedNode>Implement Design</ClosedNode>
- <ClosedNode>Synthesize - XST</ClosedNode>
- <ClosedNode>User Constraints</ClosedNode>
- </ClosedNodes>
- <SelectedItems>
- <SelectedItem>Create Schematic Symbol</SelectedItem>
- </SelectedItems>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem>Create Schematic Symbol</CurrentItem>
- </ItemView>
- <ItemView engineview="SynthesisOnly" sourcetype="DESUT_UCF" guiview="Process" >
- <ClosedNodes>
- <ClosedNodesVersion>1</ClosedNodesVersion>
- </ClosedNodes>
- <SelectedItems>
- <SelectedItem></SelectedItem>
- </SelectedItems>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem></CurrentItem>
- </ItemView>
- <ItemView engineview="SynthesisOnly" sourcetype="DESUT_SCHEMATIC" guiview="Process" >
- <ClosedNodes>
- <ClosedNodesVersion>1</ClosedNodesVersion>
- <ClosedNode>Configure Target Device</ClosedNode>
- <ClosedNode>Design Utilities</ClosedNode>
- <ClosedNode>Implement Design</ClosedNode>
- <ClosedNode>Synthesize - XST</ClosedNode>
- </ClosedNodes>
- <SelectedItems>
- <SelectedItem></SelectedItem>
- </SelectedItems>
- <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
- <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000</ViewHeaderState>
- <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem></CurrentItem>
- </ItemView>
- <SourceProcessView>000000ff00000000000000020000011b0000011b01000000050100000002</SourceProcessView>
- <CurrentView>Implementation</CurrentView>
-</Project>
+<?xml version='1.0' encoding='utf-8'?>
+<!--This is an ISE project configuration file.-->
+<!--It holds project specific layout data for the projectmgr plugin.-->
+<!--Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.-->
+<Project version="2" owner="projectmgr" name="lab2" >
+ <!--This is an ISE project configuration file.-->
+ <ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
+ <ClosedNodes>
+ <ClosedNodesVersion>2</ClosedNodesVersion>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem>ALUSHOW (X:/My Documents/ec311/ec311-lab2/ALUSHOW.sch)</SelectedItem>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000012b000000020000000000000000000000000200000064ffffffff0000008100000003000000020000012b0000000100000003000000000000000100000003</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
+ <CurrentItem>ALUSHOW (X:/My Documents/ec311/ec311-lab2/ALUSHOW.sch)</CurrentItem>
+ </ItemView>
+ <ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ <ClosedNode>Design Utilities</ClosedNode>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem>Design Utilities</SelectedItem>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000132000000010000000100000000000000000000000064ffffffff000000810000000000000001000001320000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem>Design Utilities</CurrentItem>
+ </ItemView>
+ <ItemView guiview="File" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ </ClosedNodes>
+ <SelectedItems/>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000130000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000000640000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem>ALU.v</CurrentItem>
+ </ItemView>
+ <ItemView guiview="Library" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ <ClosedNode>work</ClosedNode>
+ </ClosedNodes>
+ <SelectedItems/>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000000000000000100000000000000000000000000000000000000fb000000010001000100000000000000000000000064ffffffff000000810000000000000001000000fb0000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem>work</CurrentItem>
+ </ItemView>
+ <ItemView engineview="SynthesisOnly" sourcetype="DESUT_VERILOG" guiview="Process" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ <ClosedNode>Configure Target Device</ClosedNode>
+ <ClosedNode>Implement Design</ClosedNode>
+ <ClosedNode>Synthesize - XST</ClosedNode>
+ <ClosedNode>User Constraints</ClosedNode>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem>Create Schematic Symbol</SelectedItem>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000fb000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fb0000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem>Create Schematic Symbol</CurrentItem>
+ </ItemView>
+ <ItemView engineview="SynthesisOnly" sourcetype="DESUT_UCF" guiview="Process" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem/>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem/>
+ </ItemView>
+ <ItemView engineview="SynthesisOnly" sourcetype="DESUT_SCHEMATIC" guiview="Process" >
+ <ClosedNodes>
+ <ClosedNodesVersion>1</ClosedNodesVersion>
+ <ClosedNode>Configure Target Device</ClosedNode>
+ <ClosedNode>Design Utilities</ClosedNode>
+ <ClosedNode>Implement Design/Map</ClosedNode>
+ <ClosedNode>Implement Design/Place &amp; Route</ClosedNode>
+ <ClosedNode>Implement Design/Translate</ClosedNode>
+ <ClosedNode>Synthesize - XST</ClosedNode>
+ </ClosedNodes>
+ <SelectedItems>
+ <SelectedItem>Generate Programming File</SelectedItem>
+ </SelectedItems>
+ <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
+ <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
+ <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000fb000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fb0000000100000000</ViewHeaderState>
+ <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
+ <CurrentItem>Generate Programming File</CurrentItem>
+ </ItemView>
+ <SourceProcessView>000000ff00000000000000020000011b0000011b01000000050100000002</SourceProcessView>
+ <CurrentView>Implementation</CurrentView>
+</Project>