summaryrefslogtreecommitdiff
path: root/Increment.v
diff options
context:
space:
mode:
Diffstat (limited to 'Increment.v')
-rw-r--r--Increment.v32
1 files changed, 32 insertions, 0 deletions
diff --git a/Increment.v b/Increment.v
new file mode 100644
index 0000000..b386d13
--- /dev/null
+++ b/Increment.v
@@ -0,0 +1,32 @@
+`timescale 1ns / 1ps
+//////////////////////////////////////////////////////////////////////////////////
+// Company:
+// Engineer:
+//
+// Create Date: 14:21:53 03/16/2012
+// Design Name:
+// Module Name: Increment
+// Project Name:
+// Target Devices:
+// Tool versions:
+// Description:
+//
+// Dependencies:
+//
+// Revision:
+// Revision 0.01 - File Created
+// Additional Comments:
+//
+//////////////////////////////////////////////////////////////////////////////////
+module Increment(
+ input btn,
+ output [3:0] value
+ );
+
+reg [3:0] value = 0;
+
+always @ ( posedge btn ) begin
+ value = value == 9 ? 0 : value + 1;
+end
+
+endmodule