summaryrefslogtreecommitdiff
path: root/SevSegDisp.v
diff options
context:
space:
mode:
Diffstat (limited to 'SevSegDisp.v')
-rw-r--r--SevSegDisp.v26
1 files changed, 13 insertions, 13 deletions
diff --git a/SevSegDisp.v b/SevSegDisp.v
index f0b6ee9..8d2c3b1 100644
--- a/SevSegDisp.v
+++ b/SevSegDisp.v
@@ -21,24 +21,24 @@
module SevSegDisp(
input [3:0] A,
- output [6:0] out
+ output [6:0] result
);
-reg [6:0] out = 0;
+reg [6:0] result = 0;
always @ ( * ) begin
case ( A )
- 4'b0000 : out = 7'b0000001;
- 4'b0001 : out = 7'b1001111;
- 4'b0010 : out = 7'b0010010;
- 4'b0011 : out = 7'b0000110;
- 4'b0100 : out = 7'b1001100;
- 4'b0101 : out = 7'b0100100;
- 4'b0110 : out = 7'b0100000;
- 4'b0111 : out = 7'b0001111;
- 4'b1000 : out = 7'b0000000;
- 4'b1001 : out = 7'b0001100;
- default : out = 7'b0011010;
+ 4'b0000 : result = 7'b0000001;
+ 4'b0001 : result = 7'b1001111;
+ 4'b0010 : result = 7'b0010010;
+ 4'b0011 : result = 7'b0000110;
+ 4'b0100 : result = 7'b1001100;
+ 4'b0101 : result = 7'b0100100;
+ 4'b0110 : result = 7'b0100000;
+ 4'b0111 : result = 7'b0001111;
+ 4'b1000 : result = 7'b0000000;
+ 4'b1001 : result = 7'b0001100;
+ default : result = 7'b0011010;
endcase
end