summaryrefslogtreecommitdiff
path: root/isim
diff options
context:
space:
mode:
Diffstat (limited to 'isim')
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbgbin0 -> 4679 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/isimcrash.log0
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/isimkernel.log29
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/netId.datbin0 -> 76 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/tmp_save/_1bin0 -> 2743 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.c36
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.lin64.obin0 -> 2432 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.c329
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didatbin0 -> 3364 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.lin64.obin0 -> 5496 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c279
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didatbin0 -> 3080 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.lin64.obin0 -> 5264 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin0 -> 5556 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin0 -> 5216 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbgbin0 -> 13768 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/isimcrash.log0
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/isimkernel.log29
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/netId.datbin0 -> 108 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/tmp_save/_1bin0 -> 9766 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.c44
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.lin64.obin0 -> 3264 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.c205
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.didatbin0 -> 2428 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.lin64.obin0 -> 5920 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.c718
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.didatbin0 -> 3204 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.lin64.obin0 -> 7144 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c279
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didatbin0 -> 3088 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.lin64.obin0 -> 5264 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.c192
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.didatbin0 -> 10888 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.lin64.obin0 -> 3976 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.c273
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.didatbin0 -> 2680 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.lin64.obin0 -> 4224 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.c722
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.didatbin0 -> 3904 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.lin64.obin0 -> 8800 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.c590
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.didatbin0 -> 2732 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.lin64.obin0 -> 7672 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.c249
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.didatbin0 -> 3664 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.lin64.obin0 -> 3776 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.c203
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.didatbin0 -> 2376 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.lin64.obin0 -> 3344 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin0 -> 5564 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin0 -> 5216 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.c538
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.didatbin0 -> 2792 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.lin64.obin0 -> 6880 bytes
-rw-r--r--isim/isim_usage_statistics.html16
-rw-r--r--isim/lockfile0
-rw-r--r--isim/pn_info1
-rw-r--r--isim/work/@b@c@d2@bin.sdbbin0 -> 4984 bytes
-rw-r--r--isim/work/@bin2@b@c@d.sdbbin0 -> 5420 bytes
-rw-r--r--isim/work/@clock@divider.sdbbin0 -> 2521 bytes
-rw-r--r--isim/work/@countdown.sdbbin0 -> 3310 bytes
-rw-r--r--isim/work/@countdown@controller.sdbbin0 -> 6830 bytes
-rw-r--r--isim/work/@display@controller.sdbbin0 -> 3306 bytes
-rw-r--r--isim/work/@increment.sdbbin0 -> 1538 bytes
-rw-r--r--isim/work/@sev@seg@disp.sdbbin0 -> 2916 bytes
-rw-r--r--isim/work/@test_@contdown@controller.sdbbin0 -> 6172 bytes
-rw-r--r--isim/work/debouncer.sdbbin0 -> 4503 bytes
-rw-r--r--isim/work/glbl.sdbbin0 -> 5478 bytes
70 files changed, 5406 insertions, 0 deletions
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/TEST_Countdown_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg
new file mode 100644
index 0000000..909cd06
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/isimcrash.log b/isim/TEST_Countdown_isim_beh.exe.sim/isimcrash.log
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/isimcrash.log
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/isimkernel.log b/isim/TEST_Countdown_isim_beh.exe.sim/isimkernel.log
new file mode 100644
index 0000000..cfb9573
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/isimkernel.log
@@ -0,0 +1,29 @@
+Command line:
+ TEST_Countdown_isim_beh.exe
+ -simmode gui
+ -simrunnum 0
+ -socket 46742
+
+Wed Mar 21 13:10:41 2012
+
+
+ Elaboration Time: 0.01 sec
+
+ Current Memory Usage: 181.268 Meg
+
+ Total Signals : 18
+ Total Nets : 32
+ Total Signal Drivers : 10
+ Total Blocks : 3
+ Total Primitive Blocks : 2
+ Total Processes : 16
+ Total Traceable Variables : 25
+ Total Scalar Nets and Variables : 140
+Total Line Count : 40
+
+ Total Simulation Time: 0.29 sec
+
+ Current Memory Usage: 256.77 Meg
+
+Wed Mar 21 13:11:31 2012
+
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/netId.dat b/isim/TEST_Countdown_isim_beh.exe.sim/netId.dat
new file mode 100644
index 0000000..20588b3
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/netId.dat
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/tmp_save/_1 b/isim/TEST_Countdown_isim_beh.exe.sim/tmp_save/_1
new file mode 100644
index 0000000..9bcf6d7
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/tmp_save/_1
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.c b/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.c
new file mode 100644
index 0000000..e25a621
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.c
@@ -0,0 +1,36 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+#include "xsi.h"
+
+struct XSI_INFO xsi_info;
+
+
+
+int main(int argc, char **argv)
+{
+ xsi_init_design(argc, argv);
+ xsi_register_info(&xsi_info);
+
+ xsi_register_min_prec_unit(-12);
+ work_m_06453055231304268951_4281377536_init();
+ work_m_01236816096418509971_3448823162_init();
+ work_m_16541823861846354283_2073120511_init();
+
+
+ xsi_register_tops("work_m_01236816096418509971_3448823162");
+ xsi_register_tops("work_m_16541823861846354283_2073120511");
+
+
+ return xsi_run_simulation(argc, argv);
+
+}
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.lin64.o b/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.lin64.o
new file mode 100644
index 0000000..4fde3cb
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.lin64.o
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.c b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.c
new file mode 100644
index 0000000..b813dd5
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.c
@@ -0,0 +1,329 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab4/TEST_Countdown.v";
+static int ng1[] = {0, 0};
+static int ng2[] = {218, 0};
+static int ng3[] = {255, 0};
+static int ng4[] = {10, 0};
+static int ng5[] = {1, 0};
+
+
+
+static void Initial_46_0(char *t0)
+{
+ char t6[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t7;
+ char *t8;
+ char *t9;
+ char *t10;
+ unsigned int t11;
+ unsigned int t12;
+ unsigned int t13;
+ unsigned int t14;
+ unsigned int t15;
+ char *t16;
+ unsigned int t17;
+ unsigned int t18;
+ unsigned int t19;
+ unsigned int t20;
+ unsigned int t21;
+ unsigned int t22;
+ unsigned int t23;
+ unsigned int t24;
+ unsigned int t25;
+ unsigned int t26;
+ unsigned int t27;
+ unsigned int t28;
+ char *t29;
+
+LAB0: t1 = (t0 + 3000U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(46, ng0);
+
+LAB4: xsi_set_current_line(48, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(49, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1608);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(50, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(51, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 8);
+ xsi_set_current_line(54, ng0);
+ t2 = (t0 + 2808);
+ xsi_process_wait(t2, 50000LL);
+ *((char **)t1) = &&LAB5;
+
+LAB1: return;
+LAB5: xsi_set_current_line(58, ng0);
+ t2 = ((char*)((ng2)));
+ t3 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 8);
+ xsi_set_current_line(58, ng0);
+ t2 = (t0 + 2808);
+ xsi_process_wait(t2, 50000LL);
+ *((char **)t1) = &&LAB6;
+ goto LAB1;
+
+LAB6: xsi_set_current_line(60, ng0);
+ xsi_set_current_line(60, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 2088);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 8);
+
+LAB7: t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng3)));
+ memset(t6, 0, 8);
+ t7 = (t4 + 4);
+ if (*((unsigned int *)t7) != 0)
+ goto LAB9;
+
+LAB8: t8 = (t5 + 4);
+ if (*((unsigned int *)t8) != 0)
+ goto LAB9;
+
+LAB12: if (*((unsigned int *)t4) < *((unsigned int *)t5))
+ goto LAB10;
+
+LAB11: t10 = (t6 + 4);
+ t11 = *((unsigned int *)t10);
+ t12 = (~(t11));
+ t13 = *((unsigned int *)t6);
+ t14 = (t13 & t12);
+ t15 = (t14 != 0);
+ if (t15 > 0)
+ goto LAB13;
+
+LAB14: goto LAB1;
+
+LAB9: t9 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t9) = 1;
+ goto LAB11;
+
+LAB10: *((unsigned int *)t6) = 1;
+ goto LAB11;
+
+LAB13: xsi_set_current_line(60, ng0);
+
+LAB15: xsi_set_current_line(61, ng0);
+ t16 = (t0 + 2808);
+ xsi_process_wait(t16, 5000LL);
+ *((char **)t1) = &&LAB16;
+ goto LAB1;
+
+LAB16: xsi_set_current_line(61, ng0);
+ t2 = (t0 + 1448);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t4 + 4);
+ t11 = *((unsigned int *)t5);
+ t12 = (~(t11));
+ t13 = *((unsigned int *)t4);
+ t14 = (t13 & t12);
+ t15 = (t14 & 1U);
+ if (t15 != 0)
+ goto LAB20;
+
+LAB18: if (*((unsigned int *)t5) == 0)
+ goto LAB17;
+
+LAB19: t7 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t7) = 1;
+
+LAB20: t8 = (t6 + 4);
+ t9 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (~(t17));
+ *((unsigned int *)t6) = t18;
+ *((unsigned int *)t8) = 0;
+ if (*((unsigned int *)t9) != 0)
+ goto LAB22;
+
+LAB21: t23 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t23 & 1U);
+ t24 = *((unsigned int *)t8);
+ *((unsigned int *)t8) = (t24 & 1U);
+ t10 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t10, t6, 0, 0, 1);
+ xsi_set_current_line(62, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng4)));
+ memset(t6, 0, 8);
+ t7 = (t4 + 4);
+ t8 = (t5 + 4);
+ t11 = *((unsigned int *)t4);
+ t12 = *((unsigned int *)t5);
+ t13 = (t11 ^ t12);
+ t14 = *((unsigned int *)t7);
+ t15 = *((unsigned int *)t8);
+ t17 = (t14 ^ t15);
+ t18 = (t13 | t17);
+ t19 = *((unsigned int *)t7);
+ t20 = *((unsigned int *)t8);
+ t21 = (t19 | t20);
+ t22 = (~(t21));
+ t23 = (t18 & t22);
+ if (t23 != 0)
+ goto LAB26;
+
+LAB23: if (t21 != 0)
+ goto LAB25;
+
+LAB24: *((unsigned int *)t6) = 1;
+
+LAB26: t10 = (t6 + 4);
+ t24 = *((unsigned int *)t10);
+ t25 = (~(t24));
+ t26 = *((unsigned int *)t6);
+ t27 = (t26 & t25);
+ t28 = (t27 != 0);
+ if (t28 > 0)
+ goto LAB27;
+
+LAB28:
+LAB29: xsi_set_current_line(60, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng5)));
+ memset(t6, 0, 8);
+ xsi_vlog_unsigned_add(t6, 32, t4, 8, t5, 32);
+ t7 = (t0 + 2088);
+ xsi_vlogvar_assign_value(t7, t6, 0, 0, 8);
+ goto LAB7;
+
+LAB17: *((unsigned int *)t6) = 1;
+ goto LAB20;
+
+LAB22: t19 = *((unsigned int *)t6);
+ t20 = *((unsigned int *)t9);
+ *((unsigned int *)t6) = (t19 | t20);
+ t21 = *((unsigned int *)t8);
+ t22 = *((unsigned int *)t9);
+ *((unsigned int *)t8) = (t21 | t22);
+ goto LAB21;
+
+LAB25: t9 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t9) = 1;
+ goto LAB26;
+
+LAB27: xsi_set_current_line(62, ng0);
+
+LAB30: xsi_set_current_line(63, ng0);
+ t16 = ((char*)((ng5)));
+ t29 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t29, t16, 0, 0, 1);
+ xsi_set_current_line(63, ng0);
+ t2 = (t0 + 2808);
+ xsi_process_wait(t2, 5000LL);
+ *((char **)t1) = &&LAB31;
+ goto LAB1;
+
+LAB31: xsi_set_current_line(63, ng0);
+ t2 = (t0 + 1448);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t4 + 4);
+ t11 = *((unsigned int *)t5);
+ t12 = (~(t11));
+ t13 = *((unsigned int *)t4);
+ t14 = (t13 & t12);
+ t15 = (t14 & 1U);
+ if (t15 != 0)
+ goto LAB35;
+
+LAB33: if (*((unsigned int *)t5) == 0)
+ goto LAB32;
+
+LAB34: t7 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t7) = 1;
+
+LAB35: t8 = (t6 + 4);
+ t9 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (~(t17));
+ *((unsigned int *)t6) = t18;
+ *((unsigned int *)t8) = 0;
+ if (*((unsigned int *)t9) != 0)
+ goto LAB37;
+
+LAB36: t23 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t23 & 1U);
+ t24 = *((unsigned int *)t8);
+ *((unsigned int *)t8) = (t24 & 1U);
+ t10 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t10, t6, 0, 0, 1);
+ xsi_set_current_line(63, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ goto LAB29;
+
+LAB32: *((unsigned int *)t6) = 1;
+ goto LAB35;
+
+LAB37: t19 = *((unsigned int *)t6);
+ t20 = *((unsigned int *)t9);
+ *((unsigned int *)t6) = (t19 | t20);
+ t21 = *((unsigned int *)t8);
+ t22 = *((unsigned int *)t9);
+ *((unsigned int *)t8) = (t21 | t22);
+ goto LAB36;
+
+}
+
+
+extern void work_m_01236816096418509971_3448823162_init()
+{
+ static char *pe[] = {(void *)Initial_46_0};
+ xsi_register_didat("work_m_01236816096418509971_3448823162", "isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didat");
+ xsi_register_executes(pe);
+}
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didat b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didat
new file mode 100644
index 0000000..beb4669
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didat
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.lin64.o b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.lin64.o
new file mode 100644
index 0000000..34389cf
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.lin64.o
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c
new file mode 100644
index 0000000..a5af715
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c
@@ -0,0 +1,279 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab4/Countdown.v";
+static int ng1[] = {1, 0};
+static int ng2[] = {0, 0};
+
+
+
+static void Always_32_0(char *t0)
+{
+ char t4[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t5;
+ char *t6;
+ char *t7;
+ char *t8;
+ unsigned int t9;
+ unsigned int t10;
+ unsigned int t11;
+ unsigned int t12;
+ unsigned int t13;
+ char *t14;
+ char *t15;
+ unsigned int t16;
+ unsigned int t17;
+ unsigned int t18;
+ unsigned int t19;
+ unsigned int t20;
+ char *t21;
+ char *t22;
+
+LAB0: t1 = (t0 + 3000U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(32, ng0);
+ t2 = (t0 + 4064);
+ *((int *)t2) = 1;
+ t3 = (t0 + 3032);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(32, ng0);
+
+LAB5: xsi_set_current_line(33, ng0);
+ t5 = (t0 + 2088);
+ t6 = (t5 + 56U);
+ t7 = *((char **)t6);
+ memset(t4, 0, 8);
+ t8 = (t7 + 4);
+ t9 = *((unsigned int *)t8);
+ t10 = (~(t9));
+ t11 = *((unsigned int *)t7);
+ t12 = (t11 & t10);
+ t13 = (t12 & 1U);
+ if (t13 != 0)
+ goto LAB9;
+
+LAB7: if (*((unsigned int *)t8) == 0)
+ goto LAB6;
+
+LAB8: t14 = (t4 + 4);
+ *((unsigned int *)t4) = 1;
+ *((unsigned int *)t14) = 1;
+
+LAB9: t15 = (t4 + 4);
+ t16 = *((unsigned int *)t15);
+ t17 = (~(t16));
+ t18 = *((unsigned int *)t4);
+ t19 = (t18 & t17);
+ t20 = (t19 != 0);
+ if (t20 > 0)
+ goto LAB10;
+
+LAB11: xsi_set_current_line(35, ng0);
+
+LAB14: xsi_set_current_line(36, ng0);
+ t2 = (t0 + 1928);
+ t3 = (t2 + 56U);
+ t5 = *((char **)t3);
+ t6 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t6, t5, 0, 0, 8);
+
+LAB12: goto LAB2;
+
+LAB6: *((unsigned int *)t4) = 1;
+ goto LAB9;
+
+LAB10: xsi_set_current_line(33, ng0);
+
+LAB13: xsi_set_current_line(34, ng0);
+ t21 = (t0 + 1528U);
+ t22 = *((char **)t21);
+ t21 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t21, t22, 0, 0, 8);
+ goto LAB12;
+
+}
+
+static void Always_40_1(char *t0)
+{
+ char t17[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t6;
+ char *t7;
+ unsigned int t8;
+ unsigned int t9;
+ unsigned int t10;
+ unsigned int t11;
+ unsigned int t12;
+ char *t13;
+ char *t14;
+ char *t15;
+ char *t16;
+ char *t18;
+
+LAB0: t1 = (t0 + 3248U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(40, ng0);
+ t2 = (t0 + 4080);
+ *((int *)t2) = 1;
+ t3 = (t0 + 3280);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(40, ng0);
+
+LA