summaryrefslogtreecommitdiff
path: root/isim/TEST_DisplayController_isim_beh.exe.sim/work
diff options
context:
space:
mode:
Diffstat (limited to 'isim/TEST_DisplayController_isim_beh.exe.sim/work')
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/TEST_DisplayController_isim_beh.exe_main.c38
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/TEST_DisplayController_isim_beh.exe_main.lin64.obin0 -> 2648 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.c205
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.didatbin0 -> 2428 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.lin64.obin0 -> 5920 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.c201
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.didatbin0 -> 3876 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.lin64.obin0 -> 4824 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.c274
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.didatbin0 -> 2680 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.lin64.obin0 -> 4160 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_13807125322707046414_3845763652.c219
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_13807125322707046414_3845763652.didatbin0 -> 5568 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_13807125322707046414_3845763652.lin64.obin0 -> 5552 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin0 -> 5564 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin0 -> 5216 bytes
17 files changed, 1274 insertions, 0 deletions
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/TEST_DisplayController_isim_beh.exe_main.c b/isim/TEST_DisplayController_isim_beh.exe.sim/work/TEST_DisplayController_isim_beh.exe_main.c
new file mode 100644
index 0000000..1779fbe
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/TEST_DisplayController_isim_beh.exe_main.c
@@ -0,0 +1,38 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+#include "xsi.h"
+
+struct XSI_INFO xsi_info;
+
+
+
+int main(int argc, char **argv)
+{
+ xsi_init_design(argc, argv);
+ xsi_register_info(&xsi_info);
+
+ xsi_register_min_prec_unit(-12);
+ work_m_09461933616065074075_2531671071_init();
+ work_m_00071202231550837446_1606112044_init();
+ work_m_13807125322707046414_3845763652_init();
+ work_m_07373066261735772851_1207358656_init();
+ work_m_16541823861846354283_2073120511_init();
+
+
+ xsi_register_tops("work_m_07373066261735772851_1207358656");
+ xsi_register_tops("work_m_16541823861846354283_2073120511");
+
+
+ return xsi_run_simulation(argc, argv);
+
+}
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/TEST_DisplayController_isim_beh.exe_main.lin64.o b/isim/TEST_DisplayController_isim_beh.exe.sim/work/TEST_DisplayController_isim_beh.exe_main.lin64.o
new file mode 100644
index 0000000..c198deb
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/TEST_DisplayController_isim_beh.exe_main.lin64.o
Binary files differ
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.c b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.c
new file mode 100644
index 0000000..1821891
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.c
@@ -0,0 +1,205 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab5/SevSegDisp.v";
+static unsigned int ng1[] = {0U, 0U};
+static unsigned int ng2[] = {1U, 0U};
+static unsigned int ng3[] = {79U, 0U};
+static unsigned int ng4[] = {2U, 0U};
+static unsigned int ng5[] = {18U, 0U};
+static unsigned int ng6[] = {3U, 0U};
+static unsigned int ng7[] = {6U, 0U};
+static unsigned int ng8[] = {4U, 0U};
+static unsigned int ng9[] = {76U, 0U};
+static unsigned int ng10[] = {5U, 0U};
+static unsigned int ng11[] = {36U, 0U};
+static unsigned int ng12[] = {32U, 0U};
+static unsigned int ng13[] = {7U, 0U};
+static unsigned int ng14[] = {15U, 0U};
+static unsigned int ng15[] = {8U, 0U};
+static unsigned int ng16[] = {9U, 0U};
+static unsigned int ng17[] = {12U, 0U};
+static unsigned int ng18[] = {26U, 0U};
+
+
+
+static void Always_29_0(char *t0)
+{
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ int t6;
+ char *t7;
+ char *t8;
+
+LAB0: t1 = (t0 + 2360U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(29, ng0);
+ t2 = (t0 + 2680);
+ *((int *)t2) = 1;
+ t3 = (t0 + 2392);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(29, ng0);
+
+LAB5: xsi_set_current_line(30, ng0);
+ t4 = (t0 + 1048U);
+ t5 = *((char **)t4);
+
+LAB6: t4 = ((char*)((ng1)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t4, 4);
+ if (t6 == 1)
+ goto LAB7;
+
+LAB8: t2 = ((char*)((ng2)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB9;
+
+LAB10: t2 = ((char*)((ng4)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB11;
+
+LAB12: t2 = ((char*)((ng6)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB13;
+
+LAB14: t2 = ((char*)((ng8)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB15;
+
+LAB16: t2 = ((char*)((ng10)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB17;
+
+LAB18: t2 = ((char*)((ng7)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB19;
+
+LAB20: t2 = ((char*)((ng13)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB21;
+
+LAB22: t2 = ((char*)((ng15)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB23;
+
+LAB24: t2 = ((char*)((ng16)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB25;
+
+LAB26:
+LAB28:
+LAB27: xsi_set_current_line(41, ng0);
+ t2 = ((char*)((ng18)));
+ t3 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 7);
+
+LAB29: goto LAB2;
+
+LAB7: xsi_set_current_line(31, ng0);
+ t7 = ((char*)((ng2)));
+ t8 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t8, t7, 0, 0, 7);
+ goto LAB29;
+
+LAB9: xsi_set_current_line(32, ng0);
+ t3 = ((char*)((ng3)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB11: xsi_set_current_line(33, ng0);
+ t3 = ((char*)((ng5)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB13: xsi_set_current_line(34, ng0);
+ t3 = ((char*)((ng7)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB15: xsi_set_current_line(35, ng0);
+ t3 = ((char*)((ng9)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB17: xsi_set_current_line(36, ng0);
+ t3 = ((char*)((ng11)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB19: xsi_set_current_line(37, ng0);
+ t3 = ((char*)((ng12)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB21: xsi_set_current_line(38, ng0);
+ t3 = ((char*)((ng14)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB23: xsi_set_current_line(39, ng0);
+ t3 = ((char*)((ng1)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB25: xsi_set_current_line(40, ng0);
+ t3 = ((char*)((ng17)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+}
+
+
+extern void work_m_00071202231550837446_1606112044_init()
+{
+ static char *pe[] = {(void *)Always_29_0};
+ xsi_register_didat("work_m_00071202231550837446_1606112044", "isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.didat");
+ xsi_register_executes(pe);
+}
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.didat b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.didat
new file mode 100644
index 0000000..1122044
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.didat
Binary files differ
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.lin64.o b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.lin64.o
new file mode 100644
index 0000000..c5071ec
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.lin64.o
Binary files differ
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.c b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.c
new file mode 100644
index 0000000..c17904b
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.c
@@ -0,0 +1,201 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab5/TEST_DisplayController.v";
+static int ng1[] = {0, 0};
+static unsigned int ng2[] = {3U, 0U};
+static unsigned int ng3[] = {0U, 0U};
+static unsigned int ng4[] = {7U, 0U};
+static unsigned int ng5[] = {9U, 0U};
+static int ng6[] = {1, 0};
+
+
+
+static void Initial_51_0(char *t0)
+{
+ char t9[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ unsigned int t4;
+ unsigned int t5;
+ unsigned int t6;
+ unsigned int t7;
+ unsigned int t8;
+ char *t10;
+ char *t11;
+ char *t12;
+ char *t13;
+ unsigned int t14;
+ unsigned int t15;
+ unsigned int t16;
+ unsigned int t17;
+ unsigned int t18;
+ char *t19;
+ char *t20;
+ char *t21;
+ unsigned int t22;
+ unsigned int t23;
+ unsigned int t24;
+ unsigned int t25;
+ unsigned int t26;
+ unsigned int t27;
+ unsigned int t28;
+ unsigned int t29;
+ char *t30;
+
+LAB0: t1 = (t0 + 3320U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(51, ng0);
+
+LAB4: xsi_set_current_line(53, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1608);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 4);
+ xsi_set_current_line(54, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 4);
+ xsi_set_current_line(55, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 4);
+ xsi_set_current_line(56, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 2088);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 4);
+ xsi_set_current_line(57, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 2248);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(58, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 2408);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(61, ng0);
+ t2 = (t0 + 3128);
+ xsi_process_wait(t2, 100000LL);
+ *((char **)t1) = &&LAB5;
+
+LAB1: return;
+LAB5: xsi_set_current_line(62, ng0);
+ t2 = ((char*)((ng2)));
+ t3 = (t0 + 1608);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 4);
+ xsi_set_current_line(63, ng0);
+ t2 = ((char*)((ng3)));
+ t3 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 4);
+ xsi_set_current_line(64, ng0);
+ t2 = ((char*)((ng4)));
+ t3 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 4);
+ xsi_set_current_line(65, ng0);
+ t2 = ((char*)((ng5)));
+ t3 = (t0 + 2088);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 4);
+ xsi_set_current_line(66, ng0);
+
+LAB6: t2 = ((char*)((ng6)));
+ t3 = (t2 + 4);
+ t4 = *((unsigned int *)t3);
+ t5 = (~(t4));
+ t6 = *((unsigned int *)t2);
+ t7 = (t6 & t5);
+ t8 = (t7 != 0);
+ if (t8 > 0)
+ goto LAB7;
+
+LAB8: goto LAB1;
+
+LAB7: xsi_set_current_line(66, ng0);
+
+LAB9: xsi_set_current_line(67, ng0);
+ t10 = (t0 + 2248);
+ t11 = (t10 + 56U);
+ t12 = *((char **)t11);
+ memset(t9, 0, 8);
+ t13 = (t12 + 4);
+ t14 = *((unsigned int *)t13);
+ t15 = (~(t14));
+ t16 = *((unsigned int *)t12);
+ t17 = (t16 & t15);
+ t18 = (t17 & 1U);
+ if (t18 != 0)
+ goto LAB13;
+
+LAB11: if (*((unsigned int *)t13) == 0)
+ goto LAB10;
+
+LAB12: t19 = (t9 + 4);
+ *((unsigned int *)t9) = 1;
+ *((unsigned int *)t19) = 1;
+
+LAB13: t20 = (t9 + 4);
+ t21 = (t12 + 4);
+ t22 = *((unsigned int *)t12);
+ t23 = (~(t22));
+ *((unsigned int *)t9) = t23;
+ *((unsigned int *)t20) = 0;
+ if (*((unsigned int *)t21) != 0)
+ goto LAB15;
+
+LAB14: t28 = *((unsigned int *)t9);
+ *((unsigned int *)t9) = (t28 & 1U);
+ t29 = *((unsigned int *)t20);
+ *((unsigned int *)t20) = (t29 & 1U);
+ t30 = (t0 + 2248);
+ xsi_vlogvar_assign_value(t30, t9, 0, 0, 1);
+ xsi_set_current_line(67, ng0);
+ t2 = (t0 + 3128);
+ xsi_process_wait(t2, 1000LL);
+ *((char **)t1) = &&LAB16;
+ goto LAB1;
+
+LAB10: *((unsigned int *)t9) = 1;
+ goto LAB13;
+
+LAB15: t24 = *((unsigned int *)t9);
+ t25 = *((unsigned int *)t21);
+ *((unsigned int *)t9) = (t24 | t25);
+ t26 = *((unsigned int *)t20);
+ t27 = *((unsigned int *)t21);
+ *((unsigned int *)t20) = (t26 | t27);
+ goto LAB14;
+
+LAB16: goto LAB6;
+
+}
+
+
+extern void work_m_07373066261735772851_1207358656_init()
+{
+ static char *pe[] = {(void *)Initial_51_0};
+ xsi_register_didat("work_m_07373066261735772851_1207358656", "isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.didat");
+ xsi_register_executes(pe);
+}
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.didat b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.didat
new file mode 100644
index 0000000..a965f9b
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.didat
Binary files differ
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.lin64.o b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.lin64.o
new file mode 100644
index 0000000..62b04bc
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.lin64.o
Binary files differ
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.c b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.c
new file mode 100644
index 0000000..7788485
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.c
@@ -0,0 +1,274 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab5/ClockDivider.v";
+static int ng1[] = {1, 0};
+static int ng2[] = {0, 0};
+static unsigned int ng3[] = {1U, 0U};
+
+
+
+static void Always_31_0(char *t0)
+{
+ char t6[8];
+ char t30[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t7;
+ char *t8;
+ unsigned int t9;
+ unsigned int t10;
+ unsigned int t11;
+ unsigned int t12;
+ unsigned int t13;
+ unsigned int t14;
+ unsigned int t15;
+ unsigned int t16;
+ unsigned int t17;
+ unsigned int t18;
+ unsigned int t19;
+ unsigned int t20;
+ char *t21;
+ char *t22;
+ unsigned int t23;
+ unsigned int t24;
+ unsigned int t25;
+ unsigned int t26;
+ unsigned int t27;
+ char *t28;
+ char *t29;
+ char *t31;
+ char *t32;
+ unsigned int t33;
+ unsigned int t34;
+ unsigned int t35;
+ unsigned int t36;
+ unsigned int t37;
+ char *t38;
+ char *t39;
+ char *t40;
+ unsigned int t41;
+ unsigned int t42;
+ unsigned int t43;
+ unsigned int t44;
+ unsigned int t45;
+ unsigned int t46;
+ unsigned int t47;
+ unsigned int t48;
+ char *t49;
+
+LAB0: t1 = (t0 + 2840U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(31, ng0);
+ t2 = (t0 + 3160);
+ *((int *)t2) = 1;
+ t3 = (t0 + 2872);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(31, ng0);
+
+LAB5: xsi_set_current_line(32, ng0);
+ t4 = (t0 + 1208U);
+ t5 = *((char **)t4);
+ t4 = ((char*)((ng1)));
+ memset(t6, 0, 8);
+ t7 = (t5 + 4);
+ t8 = (t4 + 4);
+ t9 = *((unsigned int *)t5);
+ t10 = *((unsigned int *)t4);
+ t11 = (t9 ^ t10);
+ t12 = *((unsigned int *)t7);
+ t13 = *((unsigned int *)t8);
+ t14 = (t12 ^ t13);
+ t15 = (t11 | t14);
+ t16 = *((unsigned int *)t7);
+ t17 = *((unsigned int *)t8);
+ t18 = (t16 | t17);
+ t19 = (~(t18));
+ t20 = (t15 & t19);
+ if (t20 != 0)
+ goto LAB9;
+
+LAB6: if (t18 != 0)
+ goto LAB8;
+
+LAB7: *((unsigned int *)t6) = 1;
+
+LAB9: t22 = (t6 + 4);
+ t23 = *((unsigned int *)t22);
+ t24 = (~(t23));
+ t25 = *((unsigned int *)t6);
+ t26 = (t25 & t24);
+ t27 = (t26 != 0);
+ if (t27 > 0)
+ goto LAB10;
+
+LAB11: xsi_set_current_line(35, ng0);
+ t2 = (t0 + 1928);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = (t0 + 1368U);
+ t7 = *((char **)t5);
+ memset(t6, 0, 8);
+ t5 = (t4 + 4);
+ t8 = (t7 + 4);
+ t9 = *((unsigned int *)t4);
+ t10 = *((unsigned int *)t7);
+ t11 = (t9 ^ t10);
+ t12 = *((unsigned int *)t5);
+ t13 = *((unsigned int *)t8);
+ t14 = (t12 ^ t13);
+ t15 = (t11 | t14);
+ t16 = *((unsigned int *)t5);
+ t17 = *((unsigned int *)t8);
+ t18 = (t16 | t17);
+ t19 = (~(t18));
+ t20 = (t15 & t19);
+ if (t20 != 0)
+ goto LAB17;
+
+LAB14: if (t18 != 0)
+ goto LAB16;
+
+LAB15: *((unsigned int *)t6) = 1;
+
+LAB17: t22 = (t6 + 4);
+ t23 = *((unsigned int *)t22);
+ t24 = (~(t23));
+ t25 = *((unsigned int *)t6);
+ t26 = (t25 & t24);
+ t27 = (t26 != 0);
+ if (t27 > 0)
+ goto LAB18;
+
+LAB19: xsi_set_current_line(38, ng0);
+
+LAB28: xsi_set_current_line(39, ng0);
+ t2 = (t0 + 1928);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng3)));
+ memset(t6, 0, 8);
+ xsi_vlog_unsigned_add(t6, 24, t4, 24, t5, 24);
+ t7 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t7, t6, 0, 0, 24);
+
+LAB20:
+LAB12: goto LAB2;
+
+LAB8: t21 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t21) = 1;
+ goto LAB9;
+
+LAB10: xsi_set_current_line(32, ng0);
+
+LAB13: xsi_set_current_line(33, ng0);
+ t28 = ((char*)((ng2)));
+ t29 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t29, t28, 0, 0, 24);
+ xsi_set_current_line(34, ng0);
+ t2 = ((char*)((ng2)));
+ t3 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ goto LAB12;
+
+LAB16: t21 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t21) = 1;
+ goto LAB17;
+
+LAB18: xsi_set_current_line(35, ng0);
+
+LAB21: xsi_set_current_line(36, ng0);
+ t28 = (t0 + 1768);
+ t29 = (t28 + 56U);
+ t31 = *((char **)t29);
+ memset(t30, 0, 8);
+ t32 = (t31 + 4);
+ t33 = *((unsigned int *)t32);
+ t34 = (~(t33));
+ t35 = *((unsigned int *)t31);
+ t36 = (t35 & t34);
+ t37 = (t36 & 1U);
+ if (t37 != 0)
+ goto LAB25;
+
+LAB23: if (*((unsigned int *)t32) == 0)
+ goto LAB22;
+
+LAB24: t38 = (t30 + 4);
+ *((unsigned int *)t30) = 1;
+ *((unsigned int *)t38) = 1;
+
+LAB25: t39 = (t30 + 4);
+ t40 = (t31 + 4);
+ t41 = *((unsigned int *)t31);
+ t42 = (~(t41));
+ *((unsigned int *)t30) = t42;
+ *((unsigned int *)t39) = 0;
+ if (*((unsigned int *)t40) != 0)
+ goto LAB27;
+
+LAB26: t47 = *((unsigned int *)t30);
+ *((unsigned int *)t30) = (t47 & 1U);
+ t48 = *((unsigned int *)t39);
+ *((unsigned int *)t39) = (t48 & 1U);
+ t49 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t49, t30, 0, 0, 1);
+ xsi_set_current_line(37, ng0);
+ t2 = ((char*)((ng2)));
+ t3 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 24);
+ goto LAB20;
+
+LAB22: *((unsigned int *)t30) = 1;
+ goto LAB25;
+
+LAB27: t43 = *((unsigned int *)t30);
+ t44 = *((unsigned int *)t40);
+ *((unsigned int *)t30) = (t43 | t44);
+ t45 = *((unsigned int *)t39);
+ t46 = *((unsigned int *)t40);
+ *((unsigned int *)t39) = (t45 | t46);
+ goto LAB26;
+
+}
+
+
+extern void work_m_09461933616065074075_2531671071_init()
+{
+ static char *pe[] = {(void *)Always_31_0};
+ xsi_register_didat("work_m_09461933616065074075_2531671071", "isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.didat");
+ xsi_register_executes(pe);
+}
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.didat b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.didat
new file mode 100644
index 0000000..7c263e9
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.didat
Binary files differ
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.lin64.o b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.lin64.o
new file mode 100644
index 0000000..4ef30dd
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.lin64.o
Binary files differ
diff --git a/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_13807125322707046414_3845763652.c b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_13807125322707046414_3845763652.c
new file mode 100644
index 0000000..80c2cea
--- /dev/null
+++ b/isim/TEST_DisplayController_isim_beh.exe.sim/work/m_13807125322707046414_3845763652.c
@@ -0,0 +1,219 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab5/DisplayController.v";
+static unsigned int ng1[] = {1U, 0U};
+static unsigned int ng2[] = {0U, 0U};
+static unsigned int ng3[] = {14U, 0U};
+static unsigned int ng4[] = {13U, 0U};
+static unsigned int ng5[] = {2U, 0U};
+static unsigned int ng6[] = {11U, 0U};
+static unsigned int ng7[] = {3U, 0U};
+static unsigned int ng8[] = {7U, 0U};
+static int ng9[] = {10, 0};
+
+
+
+static void Always_48_0(char *t0)
+{
+ char t8[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t6;
+ char *t7;
+ char *t9;
+ int t10;
+
+LAB0: t1 = (t0 + 4440U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(48, ng0);
+ t2 = (t0 + 5008);
+ *((int *)t2) = 1;
+ t3 = (t0 + 4472);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(48, ng0);
+
+LAB5: xsi_set_current_line(49, ng0);
+ t4 = (t0 + 3528);
+ t5 = (t4 + 56U);
+ t6 = *((char **)t5);
+ t7 = ((char*)((ng1)));
+ memset(t8, 0, 8);
+ xsi_vlog_unsigned_add(t8, 2, t6, 2, t7, 2);
+ t9 = (t0 + 3528);
+ xsi_vlogvar_wait_assign_value(t9, t8, 0, 0, 2, 0LL);
+ xsi_set_current_line(50, ng0);
+ t2 = (t0 + 3528);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+
+LAB6: t5 = ((char*)((ng2)));
+ t10 = xsi_vlog_unsigned_case_compare(t4, 2, t5, 2);
+ if (t10 == 1)
+ goto LAB7;
+
+LAB8: t2 = ((char*)((ng1)));
+ t10 = xsi_vlog_unsigned_case_compare(t4, 2, t2, 2);
+ if (t10 == 1)
+ goto LAB9;
+
+LAB10: t2 = ((char*)((ng5)));
+ t10 = xsi_vlog_unsigned_case_compare(t4, 2, t2, 2);
+ if (t10 == 1)
+ goto LAB11;
+
+LAB12: t2 = ((char*)((ng7)));
+ t10 = xsi_vlog_unsigned_case_compare(t4, 2, t2, 2);
+ if (t10 == 1)
+ goto LAB13;
+
+LAB14:
+LAB15: xsi_set_current_line(56, ng0);
+ t2 = (t0 + 3528);
+ t3 = (t2 + 56U);
+ t5 = *((char **)t3);
+
+LAB16: t6 = ((char*)((ng2)));
+ t10 = xsi_vlog_unsigned_case_compare(t5, 2, t6, 2);
+ if (t10 == 1)
+ goto LAB17;
+
+LAB18: t2 = ((char*)((ng1)));
+ t10 = xsi_vlog_unsigned_case_compare(t5, 2, t2, 2);
+ if (t10 == 1)
+ goto LAB19;
+
+LAB20: t2 = ((char*)((ng5)));
+ t10 = xsi_vlog_unsigned_case_compare(t5, 2, t2, 2);
+ if (t10 == 1)
+ goto LAB21;
+
+LAB22: t2 = ((char*)((ng7)));
+ t10 = xsi_vlog_unsigned_case_compare(t5, 2, t2, 2);
+ if (t10 == 1)
+ goto LAB23;
+
+LAB24:
+LAB25: goto LAB2;
+
+LAB7: xsi_set_current_line(51, ng0);
+ t6 = ((char*)((ng3)));
+ t7 = (t0 + 3368);
+ xsi_vlogvar_wait_assign_value(t7, t6, 0, 0, 4, 0LL);
+ goto LAB15;
+
+LAB9: xsi_set_current_line(52, ng0);
+ t3 = ((char*)((ng4)));
+ t5 = (t0 + 3368);
+ xsi_vlogvar_wait_assign_value(t5, t3, 0, 0, 4, 0LL);
+ goto LAB15;
+
+LAB11: xsi_set_current_line(53, ng0);
+ t3 = ((char*)((ng6)));
+ t5 = (t0 + 3368);
+ xsi_vlogvar_wait_assign_value(t5, t3, 0, 0, 4, 0LL);
+ goto LAB15;
+
+LAB13: xsi_set_current_line(54, ng0);
+ t3 = ((char*)((ng8)));
+ t5 = (t0 + 3368);
+ xsi_vlogvar_wait_assign_value(t5, t3, 0, 0, 4, 0LL);
+ goto LAB15;
+
+LAB17: xsi_set_current_line(57, ng0);
+ t7 = (t0 + 2168U);
+ t9 = *((char **)t7);
+ t7 = (t0 + 3208);
+ xsi_vlogvar_wait_assign_value(t7, t9, 0, 0, 7, 0LL);
+ goto LAB25;
+
+LAB19: xsi_set_current_line(58, ng0);
+ t3 = (t0 + 2328U);
+ t6 = *((char **)t3);
+ t3 = (t0 + 3208);
+ xsi_vlogvar_wait_assign_value(t3, t6, 0, 0, 7, 0LL);
+ goto LAB25;
+
+LAB21: xsi_set_current_line(59, ng0);
+ t3 = (t0 + 2488U);
+ t6 = *((char **)t3);
+ t3 = (t0 + 3208);
+ xsi_vlogvar_wait_assign_value(t3, t6, 0, 0, 7, 0LL);
+ goto LAB25;
+
+LAB23: xsi_set_current_line(60, ng0);
+ t3 = (t0 + 2648U);
+ t6 = *((char **)t3);
+ t3 = (t0 + 3208);
+ xsi_vlogvar_wait_assign_value(t3, t6, 0, 0, 7, 0LL);
+ goto LAB25;
+
+}
+
+static void implSig1_execute(char *t0)
+{
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t6;
+ char *t7;
+
+LAB0: t1 = (t0 + 4