summaryrefslogtreecommitdiff
path: root/Divide_1.sch
diff options
context:
space:
mode:
authorMichael Abed <michaelabed@gmail.com>2012-02-17 12:08:05 -0500
committerMichael Abed <michaelabed@gmail.com>2012-02-17 12:08:05 -0500
commit70b77304f37d9681aa3bfa0eb57df0bcfd1aef81 (patch)
tree48ab397b4072275dbc5a6b2f92a54d3c79e1fdea /Divide_1.sch
parent57738e75e221fe61a8f87270b430c0f1c0b8ead5 (diff)
downloadec311-lab1-master.tar.gz
ec311-lab1-master.tar.bz2
ec311-lab1-master.zip
make it workHEADmaster
Diffstat (limited to 'Divide_1.sch')
-rwxr-xr-xDivide_1.sch416
1 files changed, 208 insertions, 208 deletions
diff --git a/Divide_1.sch b/Divide_1.sch
index fe2cc4d..4e46655 100755
--- a/Divide_1.sch
+++ b/Divide_1.sch
@@ -1,209 +1,209 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="XLXN_1" />
- <signal name="XLXN_2" />
- <signal name="XLXN_4" />
- <signal name="XLXN_5" />
- <signal name="b2" />
- <signal name="XLXN_8" />
- <signal name="b1" />
- <signal name="XLXN_13" />
- <signal name="XLXN_16" />
- <signal name="XLXN_17" />
- <signal name="b3" />
- <signal name="b0" />
- <signal name="result" />
- <port polarity="Input" name="b2" />
- <port polarity="Input" name="b1" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b0" />
- <port polarity="Output" name="result" />
- <blockdef name="and4">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-112" y2="-112" x1="144" />
- <arc ex="144" ey="-208" sx="144" sy="-112" r="48" cx="144" cy="-160" />
- <line x2="144" y1="-208" y2="-208" x1="64" />
- <line x2="64" y1="-64" y2="-256" x1="64" />
- <line x2="192" y1="-160" y2="-160" x1="256" />
- <line x2="64" y1="-256" y2="-256" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-64" y2="-64" x1="0" />
- </blockdef>
- <blockdef name="or3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="48" y1="-64" y2="-64" x1="0" />
- <line x2="72" y1="-128" y2="-128" x1="0" />
- <line x2="48" y1="-192" y2="-192" x1="0" />
- <line x2="192" y1="-128" y2="-128" x1="256" />
- <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
- <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
- <line x2="48" y1="-64" y2="-80" x1="48" />
- <line x2="48" y1="-192" y2="-176" x1="48" />
- <line x2="48" y1="-80" y2="-80" x1="112" />
- <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
- <line x2="48" y1="-176" y2="-176" x1="112" />
- </blockdef>
- <blockdef name="and2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="192" y1="-96" y2="-96" x1="256" />
- <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
- <line x2="64" y1="-48" y2="-48" x1="144" />
- <line x2="144" y1="-144" y2="-144" x1="64" />
- <line x2="64" y1="-48" y2="-144" x1="64" />
- </blockdef>
- <blockdef name="or2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="192" y1="-96" y2="-96" x1="256" />
- <arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
- <arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
- <line x2="48" y1="-144" y2="-144" x1="112" />
- <arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
- <line x2="48" y1="-48" y2="-48" x1="112" />
- </blockdef>
- <blockdef name="inv">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-32" y2="-32" x1="0" />
- <line x2="160" y1="-32" y2="-32" x1="224" />
- <line x2="128" y1="-64" y2="-32" x1="64" />
- <line x2="64" y1="-32" y2="0" x1="128" />
- <line x2="64" y1="0" y2="-64" x1="64" />
- <circle r="16" cx="144" cy="-32" />
- </blockdef>
- <block symbolname="and4" name="XLXI_1">
- <blockpin signalname="b3" name="I0" />
- <blockpin signalname="b1" name="I1" />
- <blockpin signalname="XLXN_8" name="I2" />
- <blockpin signalname="b0" name="I3" />
- <blockpin signalname="XLXN_5" name="O" />
- </block>
- <block symbolname="or3" name="XLXI_2">
- <blockpin signalname="XLXN_16" name="I0" />
- <blockpin signalname="XLXN_1" name="I1" />
- <blockpin signalname="XLXN_13" name="I2" />
- <blockpin signalname="XLXN_2" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_3">
- <blockpin signalname="XLXN_17" name="I0" />
- <blockpin signalname="b1" name="I1" />
- <blockpin signalname="XLXN_1" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_4">
- <blockpin signalname="XLXN_2" name="I0" />
- <blockpin signalname="b2" name="I1" />
- <blockpin signalname="XLXN_4" name="O" />
- </block>
- <block symbolname="or2" name="XLXI_5">
- <blockpin signalname="XLXN_5" name="I0" />
- <blockpin signalname="XLXN_4" name="I1" />
- <blockpin signalname="result" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_6">
- <blockpin signalname="b2" name="I" />
- <blockpin signalname="XLXN_8" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_7">
- <blockpin signalname="b3" name="I" />
- <blockpin signalname="XLXN_13" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_8">
- <blockpin signalname="b1" name="I" />
- <blockpin signalname="XLXN_16" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_9">
- <blockpin signalname="b0" name="I" />
- <blockpin signalname="XLXN_17" name="O" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <instance x="2032" y="1168" name="XLXI_2" orien="R0" />
- <branch name="XLXN_1">
- <wire x2="2032" y1="1040" y2="1040" x1="2000" />
- </branch>
- <instance x="1744" y="1136" name="XLXI_3" orien="R0" />
- <branch name="XLXN_2">
- <wire x2="2320" y1="1040" y2="1040" x1="2288" />
- </branch>
- <instance x="2320" y="1104" name="XLXI_4" orien="R0" />
- <instance x="2320" y="1568" name="XLXI_1" orien="R0" />
- <instance x="2624" y="1296" name="XLXI_5" orien="R0" />
- <branch name="XLXN_4">
- <wire x2="2592" y1="1008" y2="1008" x1="2576" />
- <wire x2="2592" y1="1008" y2="1168" x1="2592" />
- <wire x2="2624" y1="1168" y2="1168" x1="2592" />
- </branch>
- <branch name="XLXN_5">
- <wire x2="2592" y1="1408" y2="1408" x1="2576" />
- <wire x2="2592" y1="1232" y2="1408" x1="2592" />
- <wire x2="2624" y1="1232" y2="1232" x1="2592" />
- </branch>
- <branch name="b2">
- <wire x2="2320" y1="976" y2="976" x1="2288" />
- </branch>
- <iomarker fontsize="28" x="2288" y="976" name="b2" orien="R180" />
- <branch name="XLXN_8">
- <wire x2="2320" y1="1376" y2="1376" x1="2288" />
- </branch>
- <instance x="2064" y="1408" name="XLXI_6" orien="R0" />
- <branch name="b1">
- <wire x2="1744" y1="1008" y2="1008" x1="1712" />
- </branch>
- <iomarker fontsize="28" x="1712" y="1008" name="b1" orien="R180" />
- <instance x="1776" y="928" name="XLXI_7" orien="R0" />
- <branch name="XLXN_13">
- <wire x2="2032" y1="896" y2="896" x1="2000" />
- <wire x2="2032" y1="896" y2="976" x1="2032" />
- </branch>
- <instance x="1776" y="1232" name="XLXI_8" orien="R0" />
- <branch name="XLXN_16">
- <wire x2="2032" y1="1200" y2="1200" x1="2000" />
- <wire x2="2032" y1="1104" y2="1200" x1="2032" />
- </branch>
- <branch name="XLXN_17">
- <wire x2="1744" y1="1072" y2="1072" x1="1712" />
- </branch>
- <instance x="1488" y="1104" name="XLXI_9" orien="R0" />
- <branch name="b3">
- <wire x2="1776" y1="896" y2="896" x1="1744" />
- </branch>
- <iomarker fontsize="28" x="1744" y="896" name="b3" orien="R180" />
- <branch name="b0">
- <wire x2="1488" y1="1072" y2="1072" x1="1456" />
- </branch>
- <iomarker fontsize="28" x="1456" y="1072" name="b0" orien="R180" />
- <branch name="b1">
- <wire x2="1776" y1="1200" y2="1200" x1="1744" />
- </branch>
- <iomarker fontsize="28" x="1744" y="1200" name="b1" orien="R180" />
- <branch name="b0">
- <wire x2="2320" y1="1312" y2="1312" x1="2288" />
- </branch>
- <iomarker fontsize="28" x="2288" y="1312" name="b0" orien="R180" />
- <branch name="b1">
- <wire x2="2320" y1="1440" y2="1440" x1="2288" />
- </branch>
- <iomarker fontsize="28" x="2288" y="1440" name="b1" orien="R180" />
- <branch name="b3">
- <wire x2="2320" y1="1504" y2="1504" x1="2288" />
- </branch>
- <iomarker fontsize="28" x="2288" y="1504" name="b3" orien="R180" />
- <branch name="result">
- <wire x2="2912" y1="1200" y2="1200" x1="2880" />
- </branch>
- <iomarker fontsize="28" x="2912" y="1200" name="result" orien="R0" />
- <branch name="b2">
- <wire x2="2064" y1="1376" y2="1376" x1="2032" />
- </branch>
- <iomarker fontsize="28" x="2032" y="1376" name="b2" orien="R180" />
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="XLXN_1" />
+ <signal name="XLXN_2" />
+ <signal name="XLXN_4" />
+ <signal name="XLXN_5" />
+ <signal name="b2" />
+ <signal name="XLXN_8" />
+ <signal name="b1" />
+ <signal name="XLXN_13" />
+ <signal name="XLXN_16" />
+ <signal name="XLXN_17" />
+ <signal name="b3" />
+ <signal name="b0" />
+ <signal name="result" />
+ <port polarity="Input" name="b2" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Output" name="result" />
+ <blockdef name="and4">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-112" y2="-112" x1="144" />
+ <arc ex="144" ey="-208" sx="144" sy="-112" r="48" cx="144" cy="-160" />
+ <line x2="144" y1="-208" y2="-208" x1="64" />
+ <line x2="64" y1="-64" y2="-256" x1="64" />
+ <line x2="192" y1="-160" y2="-160" x1="256" />
+ <line x2="64" y1="-256" y2="-256" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ </blockdef>
+ <blockdef name="or3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="72" y1="-128" y2="-128" x1="0" />
+ <line x2="48" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
+ <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
+ <line x2="48" y1="-64" y2="-80" x1="48" />
+ <line x2="48" y1="-192" y2="-176" x1="48" />
+ <line x2="48" y1="-80" y2="-80" x1="112" />
+ <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
+ <line x2="48" y1="-176" y2="-176" x1="112" />
+ </blockdef>
+ <blockdef name="and2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
+ <line x2="64" y1="-48" y2="-48" x1="144" />
+ <line x2="144" y1="-144" y2="-144" x1="64" />
+ <line x2="64" y1="-48" y2="-144" x1="64" />
+ </blockdef>
+ <blockdef name="or2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="192" ey="-96" sx="112" sy="-48" r="88" cx="116" cy="-136" />
+ <arc ex="48" ey="-144" sx="48" sy="-48" r="56" cx="16" cy="-96" />
+ <line x2="48" y1="-144" y2="-144" x1="112" />
+ <arc ex="112" ey="-144" sx="192" sy="-96" r="88" cx="116" cy="-56" />
+ <line x2="48" y1="-48" y2="-48" x1="112" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <block symbolname="and4" name="XLXI_1">
+ <blockpin signalname="b3" name="I0" />
+ <blockpin signalname="b1" name="I1" />
+ <blockpin signalname="XLXN_8" name="I2" />
+ <blockpin signalname="b0" name="I3" />
+ <blockpin signalname="XLXN_5" name="O" />
+ </block>
+ <block symbolname="or3" name="XLXI_2">
+ <blockpin signalname="XLXN_16" name="I0" />
+ <blockpin signalname="XLXN_1" name="I1" />
+ <blockpin signalname="XLXN_13" name="I2" />
+ <blockpin signalname="XLXN_2" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_3">
+ <blockpin signalname="XLXN_17" name="I0" />
+ <blockpin signalname="b1" name="I1" />
+ <blockpin signalname="XLXN_1" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_4">
+ <blockpin signalname="XLXN_2" name="I0" />
+ <blockpin signalname="b2" name="I1" />
+ <blockpin signalname="XLXN_4" name="O" />
+ </block>
+ <block symbolname="or2" name="XLXI_5">
+ <blockpin signalname="XLXN_5" name="I0" />
+ <blockpin signalname="XLXN_4" name="I1" />
+ <blockpin signalname="result" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_6">
+ <blockpin signalname="b2" name="I" />
+ <blockpin signalname="XLXN_8" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_7">
+ <blockpin signalname="b3" name="I" />
+ <blockpin signalname="XLXN_13" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_8">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_16" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_9">
+ <blockpin signalname="b0" name="I" />
+ <blockpin signalname="XLXN_17" name="O" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <instance x="2032" y="1168" name="XLXI_2" orien="R0" />
+ <branch name="XLXN_1">
+ <wire x2="2032" y1="1040" y2="1040" x1="2000" />
+ </branch>
+ <instance x="1744" y="1136" name="XLXI_3" orien="R0" />
+ <branch name="XLXN_2">
+ <wire x2="2320" y1="1040" y2="1040" x1="2288" />
+ </branch>
+ <instance x="2320" y="1104" name="XLXI_4" orien="R0" />
+ <instance x="2320" y="1568" name="XLXI_1" orien="R0" />
+ <instance x="2624" y="1296" name="XLXI_5" orien="R0" />
+ <branch name="XLXN_4">
+ <wire x2="2592" y1="1008" y2="1008" x1="2576" />
+ <wire x2="2592" y1="1008" y2="1168" x1="2592" />
+ <wire x2="2624" y1="1168" y2="1168" x1="2592" />
+ </branch>
+ <branch name="XLXN_5">
+ <wire x2="2592" y1="1408" y2="1408" x1="2576" />
+ <wire x2="2592" y1="1232" y2="1408" x1="2592" />
+ <wire x2="2624" y1="1232" y2="1232" x1="2592" />
+ </branch>
+ <branch name="b2">
+ <wire x2="2320" y1="976" y2="976" x1="2288" />
+ </branch>
+ <iomarker fontsize="28" x="2288" y="976" name="b2" orien="R180" />
+ <branch name="XLXN_8">
+ <wire x2="2320" y1="1376" y2="1376" x1="2288" />
+ </branch>
+ <instance x="2064" y="1408" name="XLXI_6" orien="R0" />
+ <branch name="b1">
+ <wire x2="1744" y1="1008" y2="1008" x1="1712" />
+ </branch>
+ <iomarker fontsize="28" x="1712" y="1008" name="b1" orien="R180" />
+ <instance x="1776" y="928" name="XLXI_7" orien="R0" />
+ <branch name="XLXN_13">
+ <wire x2="2032" y1="896" y2="896" x1="2000" />
+ <wire x2="2032" y1="896" y2="976" x1="2032" />
+ </branch>
+ <instance x="1776" y="1232" name="XLXI_8" orien="R0" />
+ <branch name="XLXN_16">
+ <wire x2="2032" y1="1200" y2="1200" x1="2000" />
+ <wire x2="2032" y1="1104" y2="1200" x1="2032" />
+ </branch>
+ <branch name="XLXN_17">
+ <wire x2="1744" y1="1072" y2="1072" x1="1712" />
+ </branch>
+ <instance x="1488" y="1104" name="XLXI_9" orien="R0" />
+ <branch name="b3">
+ <wire x2="1776" y1="896" y2="896" x1="1744" />
+ </branch>
+ <iomarker fontsize="28" x="1744" y="896" name="b3" orien="R180" />
+ <branch name="b0">
+ <wire x2="1488" y1="1072" y2="1072" x1="1456" />
+ </branch>
+ <iomarker fontsize="28" x="1456" y="1072" name="b0" orien="R180" />
+ <branch name="b1">
+ <wire x2="1776" y1="1200" y2="1200" x1="1744" />
+ </branch>
+ <iomarker fontsize="28" x="1744" y="1200" name="b1" orien="R180" />
+ <branch name="b0">
+ <wire x2="2320" y1="1312" y2="1312" x1="2288" />
+ </branch>
+ <iomarker fontsize="28" x="2288" y="1312" name="b0" orien="R180" />
+ <branch name="b1">
+ <wire x2="2320" y1="1440" y2="1440" x1="2288" />
+ </branch>
+ <iomarker fontsize="28" x="2288" y="1440" name="b1" orien="R180" />
+ <branch name="b3">
+ <wire x2="2320" y1="1504" y2="1504" x1="2288" />
+ </branch>
+ <iomarker fontsize="28" x="2288" y="1504" name="b3" orien="R180" />
+ <branch name="result">
+ <wire x2="2912" y1="1200" y2="1200" x1="2880" />
+ </branch>
+ <iomarker fontsize="28" x="2912" y="1200" name="result" orien="R0" />
+ <branch name="b2">
+ <wire x2="2064" y1="1376" y2="1376" x1="2032" />
+ </branch>
+ <iomarker fontsize="28" x="2032" y="1376" name="b2" orien="R180" />
+ </sheet>
</drawing> \ No newline at end of file