summaryrefslogtreecommitdiff
path: root/ALU.sch
diff options
context:
space:
mode:
Diffstat (limited to 'ALU.sch')
-rwxr-xr-xALU.sch930
1 files changed, 465 insertions, 465 deletions
diff --git a/ALU.sch b/ALU.sch
index 78bc8e1..abd63bb 100755
--- a/ALU.sch
+++ b/ALU.sch
@@ -1,466 +1,466 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="A" />
- <signal name="B" />
- <signal name="C" />
- <signal name="D" />
- <signal name="XLXN_12" />
- <signal name="S1" />
- <signal name="S0" />
- <signal name="XLXN_22" />
- <signal name="XLXN_23" />
- <signal name="XLXN_24" />
- <signal name="XLXN_25" />
- <signal name="XLXN_26" />
- <signal name="XLXN_27" />
- <signal name="XLXN_28" />
- <signal name="XLXN_31" />
- <signal name="XLXN_33" />
- <signal name="XLXN_34" />
- <signal name="XLXN_35" />
- <signal name="XLXN_36" />
- <signal name="XLXN_37" />
- <signal name="XLXN_38" />
- <signal name="XLXN_39" />
- <signal name="XLXN_41" />
- <signal name="a_out" />
- <signal name="b_out" />
- <signal name="c_out" />
- <signal name="d_out" />
- <signal name="e_out" />
- <signal name="f_out" />
- <signal name="g_out" />
- <signal name="sign" />
- <signal name="AN0" />
- <signal name="AN1" />
- <signal name="AN2" />
- <signal name="AN3" />
- <port polarity="Input" name="A" />
- <port polarity="Input" name="B" />
- <port polarity="Input" name="C" />
- <port polarity="Input" name="D" />
- <port polarity="Input" name="S1" />
- <port polarity="Input" name="S0" />
- <port polarity="Output" name="a_out" />
- <port polarity="Output" name="b_out" />
- <port polarity="Output" name="c_out" />
- <port polarity="Output" name="d_out" />
- <port polarity="Output" name="e_out" />
- <port polarity="Output" name="f_out" />
- <port polarity="Output" name="g_out" />
- <port polarity="Output" name="sign" />
- <port polarity="Output" name="AN0" />
- <port polarity="Output" name="AN1" />
- <port polarity="Output" name="AN2" />
- <port polarity="Output" name="AN3" />
- <blockdef name="Divide">
- <timestamp>2012-2-16T0:25:40</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- <line x2="384" y1="-160" y2="-160" x1="320" />
- <line x2="384" y1="-96" y2="-96" x1="320" />
- <line x2="384" y1="-32" y2="-32" x1="320" />
- </blockdef>
- <blockdef name="Modulo">
- <timestamp>2012-2-16T0:29:51</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- <line x2="384" y1="-160" y2="-160" x1="320" />
- <line x2="384" y1="-96" y2="-96" x1="320" />
- <line x2="384" y1="-32" y2="-32" x1="320" />
- </blockdef>
- <blockdef name="Negate">
- <timestamp>2012-2-16T0:44:17</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- <line x2="384" y1="-160" y2="-160" x1="320" />
- <line x2="384" y1="-96" y2="-96" x1="320" />
- <line x2="384" y1="-32" y2="-32" x1="320" />
- </blockdef>
- <blockdef name="m4_1e">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="96" y1="-416" y2="-416" x1="0" />
- <line x2="96" y1="-352" y2="-352" x1="0" />
- <line x2="96" y1="-288" y2="-288" x1="0" />
- <line x2="96" y1="-224" y2="-224" x1="0" />
- <line x2="96" y1="-32" y2="-32" x1="0" />
- <line x2="256" y1="-320" y2="-320" x1="320" />
- <line x2="96" y1="-160" y2="-160" x1="0" />
- <line x2="96" y1="-96" y2="-96" x1="0" />
- <line x2="96" y1="-96" y2="-96" x1="176" />
- <line x2="176" y1="-208" y2="-96" x1="176" />
- <line x2="96" y1="-32" y2="-32" x1="224" />
- <line x2="224" y1="-216" y2="-32" x1="224" />
- <line x2="96" y1="-224" y2="-192" x1="256" />
- <line x2="256" y1="-416" y2="-224" x1="256" />
- <line x2="256" y1="-448" y2="-416" x1="96" />
- <line x2="96" y1="-192" y2="-448" x1="96" />
- <line x2="96" y1="-160" y2="-160" x1="128" />
- <line x2="128" y1="-200" y2="-160" x1="128" />
- </blockdef>
- <blockdef name="vcc">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-32" y2="-64" x1="64" />
- <line x2="64" y1="0" y2="-32" x1="64" />
- <line x2="32" y1="-64" y2="-64" x1="96" />
- </blockdef>
- <blockdef name="sev_seg_disp">
- <timestamp>2012-2-14T0:35:26</timestamp>
- <rect width="256" x="64" y="-768" height="768" />
- <line x2="0" y1="-736" y2="-736" x1="64" />
- <line x2="0" y1="-512" y2="-512" x1="64" />
- <line x2="0" y1="-288" y2="-288" x1="64" />
- <line x2="0" y1="-64" y2="-64" x1="64" />
- <line x2="384" y1="-736" y2="-736" x1="320" />
- <line x2="384" y1="-672" y2="-672" x1="320" />
- <line x2="384" y1="-608" y2="-608" x1="320" />
- <line x2="384" y1="-544" y2="-544" x1="320" />
- <line x2="384" y1="-480" y2="-480" x1="320" />
- <line x2="384" y1="-416" y2="-416" x1="320" />
- <line x2="384" y1="-352" y2="-352" x1="320" />
- <line x2="384" y1="-288" y2="-288" x1="320" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- <line x2="384" y1="-160" y2="-160" x1="320" />
- <line x2="384" y1="-96" y2="-96" x1="320" />
- <line x2="384" y1="-32" y2="-32" x1="320" />
- </blockdef>
- <block symbolname="Divide" name="XLXI_1">
- <blockpin signalname="A" name="b3" />
- <blockpin signalname="D" name="b0" />
- <blockpin signalname="C" name="b1" />
- <blockpin signalname="B" name="b2" />
- <blockpin signalname="XLXN_36" name="out0" />
- <blockpin signalname="XLXN_35" name="out1" />
- <blockpin signalname="XLXN_34" name="out2" />
- <blockpin signalname="XLXN_33" name="out3" />
- </block>
- <block symbolname="Modulo" name="XLXI_2">
- <blockpin signalname="D" name="b0" />
- <blockpin signalname="A" name="b3" />
- <blockpin signalname="B" name="b2" />
- <blockpin signalname="C" name="b1" />
- <blockpin signalname="XLXN_41" name="out3" />
- <blockpin signalname="XLXN_39" name="out2" />
- <blockpin signalname="XLXN_38" name="out1" />
- <blockpin signalname="XLXN_37" name="out0" />
- </block>
- <block symbolname="Negate" name="XLXI_3">
- <blockpin signalname="D" name="b0" />
- <blockpin signalname="B" name="b2" />
- <blockpin signalname="A" name="b3" />
- <blockpin signalname="C" name="b1" />
- <blockpin signalname="XLXN_31" name="out2" />
- <blockpin signalname="XLXN_28" name="out1" />
- <blockpin signalname="XLXN_27" name="out0" />
- <blockpin signalname="XLXN_26" name="out3" />
- </block>
- <block symbolname="m4_1e" name="XLXI_4">
- <blockpin signalname="A" name="D0" />
- <blockpin signalname="XLXN_26" name="D1" />
- <blockpin signalname="XLXN_33" name="D2" />
- <blockpin signalname="XLXN_41" name="D3" />
- <blockpin signalname="XLXN_12" name="E" />
- <blockpin signalname="S0" name="S0" />
- <blockpin signalname="S1" name="S1" />
- <blockpin signalname="XLXN_22" name="O" />
- </block>
- <block symbolname="m4_1e" name="XLXI_5">
- <blockpin signalname="B" name="D0" />
- <blockpin signalname="XLXN_27" name="D1" />
- <blockpin signalname="XLXN_34" name="D2" />
- <blockpin signalname="XLXN_39" name="D3" />
- <blockpin signalname="XLXN_12" name="E" />
- <blockpin signalname="S0" name="S0" />
- <blockpin signalname="S1" name="S1" />
- <blockpin signalname="XLXN_23" name="O" />
- </block>
- <block symbolname="m4_1e" name="XLXI_6">
- <blockpin signalname="C" name="D0" />
- <blockpin signalname="XLXN_28" name="D1" />
- <blockpin signalname="XLXN_35" name="D2" />
- <blockpin signalname="XLXN_38" name="D3" />
- <blockpin signalname="XLXN_12" name="E" />
- <blockpin signalname="S0" name="S0" />
- <blockpin signalname="S1" name="S1" />
- <blockpin signalname="XLXN_24" name="O" />
- </block>
- <block symbolname="m4_1e" name="XLXI_7">
- <blockpin signalname="D" name="D0" />
- <blockpin signalname="XLXN_31" name="D1" />
- <blockpin signalname="XLXN_36" name="D2" />
- <blockpin signalname="XLXN_37" name="D3" />
- <blockpin signalname="XLXN_12" name="E" />
- <blockpin signalname="S0" name="S0" />
- <blockpin signalname="S1" name="S1" />
- <blockpin signalname="XLXN_25" name="O" />
- </block>
- <block symbolname="vcc" name="XLXI_8">
- <blockpin signalname="XLXN_12" name="P" />
- </block>
- <block symbolname="sev_seg_disp" name="XLXI_9">
- <blockpin signalname="XLXN_22" name="A" />
- <blockpin signalname="XLXN_23" name="B" />
- <blockpin signalname="XLXN_24" name="C" />
- <blockpin signalname="XLXN_25" name="D" />
- <blockpin signalname="a_out" name="a_out" />
- <blockpin signalname="b_out" name="b_out" />
- <blockpin signalname="c_out" name="c_out" />
- <blockpin signalname="d_out" name="d_out" />
- <blockpin signalname="e_out" name="e_out" />
- <blockpin signalname="f_out" name="f_out" />
- <blockpin signalname="g_out" name="g_out" />
- <blockpin signalname="sign" name="sign" />
- <blockpin signalname="AN0" name="AN0" />
- <blockpin signalname="AN1" name="AN1" />
- <blockpin signalname="AN2" name="AN2" />
- <blockpin signalname="AN3" name="AN3" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <instance x="816" y="864" name="XLXI_1" orien="R0">
- </instance>
- <instance x="800" y="1344" name="XLXI_2" orien="R0">
- </instance>
- <instance x="800" y="1776" name="XLXI_3" orien="R0">
- </instance>
- <instance x="1888" y="720" name="XLXI_4" orien="R0" />
- <instance x="1888" y="1200" name="XLXI_5" orien="R0" />
- <instance x="1872" y="1664" name="XLXI_6" orien="R0" />
- <instance x="1856" y="2160" name="XLXI_7" orien="R0" />
- <branch name="A">
- <wire x2="496" y1="176" y2="176" x1="240" />
- <wire x2="496" y1="176" y2="640" x1="496" />
- <wire x2="496" y1="640" y2="1184" x1="496" />
- <wire x2="496" y1="1184" y2="1680" x1="496" />
- <wire x2="800" y1="1680" y2="1680" x1="496" />
- <wire x2="800" y1="1184" y2="1184" x1="496" />
- <wire x2="816" y1="640" y2="640" x1="496" />
- <wire x2="1184" y1="176" y2="176" x1="496" />
- <wire x2="1184" y1="176" y2="304" x1="1184" />
- <wire x2="1888" y1="304" y2="304" x1="1184" />
- </branch>
- <branch name="B">
- <wire x2="640" y1="256" y2="256" x1="240" />
- <wire x2="640" y1="256" y2="832" x1="640" />
- <wire x2="640" y1="832" y2="1248" x1="640" />
- <wire x2="640" y1="1248" y2="1616" x1="640" />
- <wire x2="800" y1="1616" y2="1616" x1="640" />
- <wire x2="800" y1="1248" y2="1248" x1="640" />
- <wire x2="816" y1="832" y2="832" x1="640" />
- <wire x2="1264" y1="256" y2="256" x1="640" />
- <wire x2="1264" y1="256" y2="784" x1="1264" />
- <wire x2="1888" y1="784" y2="784" x1="1264" />
- </branch>
- <branch name="C">
- <wire x2="624" y1="352" y2="352" x1="240" />
- <wire x2="624" y1="352" y2="768" x1="624" />
- <wire x2="624" y1="768" y2="1312" x1="624" />
- <wire x2="624" y1="1312" y2="1744" x1="624" />
- <wire x2="800" y1="1744" y2="1744" x1="624" />
- <wire x2="800" y1="1312" y2="1312" x1="624" />
- <wire x2="816" y1="768" y2="768" x1="624" />
- <wire x2="1248" y1="352" y2="352" x1="624" />
- <wire x2="1248" y1="352" y2="1248" x1="1248" />
- <wire x2="1872" y1="1248" y2="1248" x1="1248" />
- </branch>
- <iomarker fontsize="28" x="240" y="176" name="A" orien="R180" />
- <iomarker fontsize="28" x="240" y="256" name="B" orien="R180" />
- <iomarker fontsize="28" x="240" y="352" name="C" orien="R180" />
- <iomarker fontsize="28" x="240" y="480" name="D" orien="R180" />
- <branch name="D">
- <wire x2="480" y1="480" y2="480" x1="240" />
- <wire x2="480" y1="480" y2="704" x1="480" />
- <wire x2="480" y1="704" y2="1120" x1="480" />
- <wire x2="480" y1="1120" y2="1552" x1="480" />
- <wire x2="800" y1="1552" y2="1552" x1="480" />
- <wire x2="800" y1="1120" y2="1120" x1="480" />
- <wire x2="816" y1="704" y2="704" x1="480" />
- <wire x2="1216" y1="480" y2="480" x1="480" />
- <wire x2="1216" y1="480" y2="1744" x1="1216" />
- <wire x2="1856" y1="1744" y2="1744" x1="1216" />
- </branch>
- <instance x="1680" y="128" name="XLXI_8" orien="R0" />
- <branch name="XLXN_12">
- <wire x2="1744" y1="128" y2="688" x1="1744" />
- <wire x2="1744" y1="688" y2="1168" x1="1744" />
- <wire x2="1744" y1="1168" y2="1632" x1="1744" />
- <wire x2="1744" y1="1632" y2="2128" x1="1744" />
- <wire x2="1856" y1="2128" y2="2128" x1="1744" />
- <wire x2="1872" y1="1632" y2="1632" x1="1744" />
- <wire x2="1888" y1="1168" y2="1168" x1="1744" />
- <wire x2="1888" y1="688" y2="688" x1="1744" />
- </branch>
- <branch name="S1">
- <wire x2="1744" y1="2272" y2="2512" x1="1744" />
- <wire x2="1808" y1="2272" y2="2272" x1="1744" />
- <wire x2="1888" y1="624" y2="624" x1="1808" />
- <wire x2="1808" y1="624" y2="1104" x1="1808" />
- <wire x2="1888" y1="1104" y2="1104" x1="1808" />
- <wire x2="1808" y1="1104" y2="1568" x1="1808" />
- <wire x2="1872" y1="1568" y2="1568" x1="1808" />
- <wire x2="1808" y1="1568" y2="2064" x1="1808" />
- <wire x2="1808" y1="2064" y2="2272" x1="1808" />
- <wire x2="1856" y1="2064" y2="2064" x1="1808" />
- </branch>
- <branch name="S0">
- <wire x2="1888" y1="560" y2="560" x1="1664" />
- <wire x2="1664" y1="560" y2="1040" x1="1664" />
- <wire x2="1888" y1="1040" y2="1040" x1="1664" />
- <wire x2="1664" y1="1040" y2="1504" x1="1664" />
- <wire x2="1872" y1="1504" y2="1504" x1="1664" />
- <wire x2="1664" y1="1504" y2="2000" x1="1664" />
- <wire x2="1664" y1="2000" y2="2512" x1="1664" />
- <wire x2="1856" y1="2000" y2="2000" x1="1664" />
- </branch>
- <iomarker fontsize="28" x="1664" y="2512" name="S0" orien="R90" />
- <iomarker fontsize="28" x="1744" y="2512" name="S1" orien="R90" />
- <instance x="2656" y="1392" name="XLXI_9" orien="R0">
- </instance>
- <branch name="XLXN_22">
- <wire x2="2432" y1="400" y2="400" x1="2208" />
- <wire x2="2432" y1="400" y2="656" x1="2432" />
- <wire x2="2656" y1="656" y2="656" x1="2432" />
- </branch>
- <branch name="XLXN_23">
- <wire x2="2656" y1="880" y2="880" x1="2208" />
- </branch>
- <branch name="XLXN_24">
- <wire x2="2416" y1="1344" y2="1344" x1="2192" />
- <wire x2="2416" y1="1104" y2="1344" x1="2416" />
- <wire x2="2656" y1="1104" y2="1104" x1="2416" />
- </branch>
- <branch name="XLXN_25">
- <wire x2="2432" y1="1840" y2="1840" x1="2176" />
- <wire x2="2432" y1="1328" y2="1840" x1="2432" />
- <wire x2="2656" y1="1328" y2="1328" x1="2432" />
- </branch>
- <branch name="XLXN_26">
- <wire x2="1200" y1="1744" y2="1744" x1="1184" />
- <wire x2="1200" y1="1744" y2="1808" x1="1200" />
- <wire x2="1536" y1="1808" y2="1808" x1="1200" />
- <wire x2="1888" y1="368" y2="368" x1="1536" />
- <wire x2="1536" y1="368" y2="1808" x1="1536" />
- </branch>
- <branch name="XLXN_27">
- <wire x2="1520" y1="1680" y2="1680" x1="1184" />
- <wire x2="1888" y1="848" y2="848" x1="1520" />
- <wire x2="1520" y1="848" y2="1680" x1="1520" />
- </branch>
- <branch name="XLXN_28">
- <wire x2="1552" y1="1616" y2="1616" x1="1184" />
- <wire x2="1872" y1="1312" y2="1312" x1="1552" />
- <wire x2="1552" y1="1312" y2="1616" x1="1552" />
- </branch>
- <branch name="XLXN_31">
- <wire x2="1568" y1="1552" y2="1552" x1="1184" />
- <wire x2="1568" y1="1552" y2="1808" x1="1568" />
- <wire x2="1856" y1="1808" y2="1808" x1="1568" />
- </branch>
- <branch name="XLXN_33">
- <wire x2="1520" y1="832" y2="832" x1="1200" />
- <wire x2="1520" y1="432" y2="832" x1="1520" />
- <wire x2="1888" y1="432" y2="432" x1="1520" />
- </branch>
- <branch name="XLXN_34">
- <wire x2="1504" y1="768" y2="768" x1="1200" />
- <wire x2="1504" y1="768" y2="912" x1="1504" />
- <wire x2="1888" y1="912" y2="912" x1="1504" />
- </branch>
- <branch name="XLXN_35">
- <wire x2="1488" y1="704" y2="704" x1="1200" />
- <wire x2="1488" y1="704" y2="1376" x1="1488" />
- <wire x2="1872" y1="1376" y2="1376" x1="1488" />
- </branch>
- <branch name="XLXN_36">
- <wire x2="1472" y1="640" y2="640" x1="1200" />
- <wire x2="1472" y1="640" y2="1872" x1="1472" />
- <wire x2="1856" y1="1872" y2="1872" x1="1472" />
- </branch>
- <branch name="XLXN_37">
- <wire x2="1504" y1="1312" y2="1312" x1="1184" />
- <wire x2="1504" y1="1312" y2="1936" x1="1504" />
- <wire x2="1856" y1="1936" y2="1936" x1="1504" />
- </branch>
- <branch name="XLXN_38">
- <wire x2="1200" y1="1248" y2="1248" x1="1184" />
- <wire x2="1200" y1="1248" y2="1440" x1="1200" />
- <wire x2="1872" y1="1440" y2="1440" x1="1200" />
- </branch>
- <branch name="XLXN_39">
- <wire x2="1504" y1="1184" y2="1184" x1="1184" />
- <wire x2="1504" y1="976" y2="1184" x1="1504" />
- <wire x2="1888" y1="976" y2="976" x1="1504" />
- </branch>
- <branch name="XLXN_41">
- <wire x2="1552" y1="1120" y2="1120" x1="1184" />
- <wire x2="1552" y1="496" y2="1120" x1="1552" />
- <wire x2="1888" y1="496" y2="496" x1="1552" />
- </branch>
- <branch name="a_out">
- <wire x2="3072" y1="656" y2="656" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="656" name="a_out" orien="R0" />
- <branch name="b_out">
- <wire x2="3072" y1="720" y2="720" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="720" name="b_out" orien="R0" />
- <branch name="c_out">
- <wire x2="3072" y1="784" y2="784" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="784" name="c_out" orien="R0" />
- <branch name="d_out">
- <wire x2="3072" y1="848" y2="848" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="848" name="d_out" orien="R0" />
- <branch name="e_out">
- <wire x2="3072" y1="912" y2="912" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="912" name="e_out" orien="R0" />
- <branch name="f_out">
- <wire x2="3072" y1="976" y2="976" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="976" name="f_out" orien="R0" />
- <branch name="g_out">
- <wire x2="3072" y1="1040" y2="1040" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1040" name="g_out" orien="R0" />
- <branch name="sign">
- <wire x2="3072" y1="1104" y2="1104" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1104" name="sign" orien="R0" />
- <branch name="AN0">
- <wire x2="3072" y1="1168" y2="1168" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1168" name="AN0" orien="R0" />
- <branch name="AN1">
- <wire x2="3072" y1="1232" y2="1232" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1232" name="AN1" orien="R0" />
- <branch name="AN2">
- <wire x2="3072" y1="1296" y2="1296" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1296" name="AN2" orien="R0" />
- <branch name="AN3">
- <wire x2="3072" y1="1360" y2="1360" x1="3040" />
- </branch>
- <iomarker fontsize="28" x="3072" y="1360" name="AN3" orien="R0" />
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="A" />
+ <signal name="B" />
+ <signal name="C" />
+ <signal name="XLXN_12" />
+ <signal name="S1" />
+ <signal name="S0" />
+ <signal name="XLXN_22" />
+ <signal name="XLXN_23" />
+ <signal name="XLXN_24" />
+ <signal name="XLXN_25" />
+ <signal name="XLXN_33" />
+ <signal name="XLXN_34" />
+ <signal name="XLXN_35" />
+ <signal name="XLXN_36" />
+ <signal name="XLXN_37" />
+ <signal name="XLXN_38" />
+ <signal name="XLXN_39" />
+ <signal name="XLXN_41" />
+ <signal name="a_out" />
+ <signal name="b_out" />
+ <signal name="c_out" />
+ <signal name="d_out" />
+ <signal name="e_out" />
+ <signal name="f_out" />
+ <signal name="g_out" />
+ <signal name="sign" />
+ <signal name="AN0" />
+ <signal name="AN1" />
+ <signal name="AN2" />
+ <signal name="AN3" />
+ <signal name="D" />
+ <signal name="XLXN_42" />
+ <signal name="XLXN_43" />
+ <signal name="XLXN_44" />
+ <signal name="XLXN_45" />
+ <port polarity="Input" name="A" />
+ <port polarity="Input" name="B" />
+ <port polarity="Input" name="C" />
+ <port polarity="Input" name="S1" />
+ <port polarity="Input" name="S0" />
+ <port polarity="Output" name="a_out" />
+ <port polarity="Output" name="b_out" />
+ <port polarity="Output" name="c_out" />
+ <port polarity="Output" name="d_out" />
+ <port polarity="Output" name="e_out" />
+ <port polarity="Output" name="f_out" />
+ <port polarity="Output" name="g_out" />
+ <port polarity="Output" name="sign" />
+ <port polarity="Output" name="AN0" />
+ <port polarity="Output" name="AN1" />
+ <port polarity="Output" name="AN2" />
+ <port polarity="Output" name="AN3" />
+ <port polarity="Input" name="D" />
+ <blockdef name="Divide">
+ <timestamp>2012-2-17T0:27:58</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </blockdef>
+ <blockdef name="Modulo">
+ <timestamp>2012-2-17T0:52:15</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </blockdef>
+ <blockdef name="Negate">
+ <timestamp>2012-2-16T23:54:10</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </blockdef>
+ <blockdef name="m4_1e">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="96" y1="-416" y2="-416" x1="0" />
+ <line x2="96" y1="-352" y2="-352" x1="0" />
+ <line x2="96" y1="-288" y2="-288" x1="0" />
+ <line x2="96" y1="-224" y2="-224" x1="0" />
+ <line x2="96" y1="-32" y2="-32" x1="0" />
+ <line x2="256" y1="-320" y2="-320" x1="320" />
+ <line x2="96" y1="-160" y2="-160" x1="0" />
+ <line x2="96" y1="-96" y2="-96" x1="0" />
+ <line x2="96" y1="-96" y2="-96" x1="176" />
+ <line x2="176" y1="-208" y2="-96" x1="176" />
+ <line x2="96" y1="-32" y2="-32" x1="224" />
+ <line x2="224" y1="-216" y2="-32" x1="224" />
+ <line x2="96" y1="-224" y2="-192" x1="256" />
+ <line x2="256" y1="-416" y2="-224" x1="256" />
+ <line x2="256" y1="-448" y2="-416" x1="96" />
+ <line x2="96" y1="-192" y2="-448" x1="96" />
+ <line x2="96" y1="-160" y2="-160" x1="128" />
+ <line x2="128" y1="-200" y2="-160" x1="128" />
+ </blockdef>
+ <blockdef name="vcc">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-64" x1="64" />
+ <line x2="64" y1="0" y2="-32" x1="64" />
+ <line x2="32" y1="-64" y2="-64" x1="96" />
+ </blockdef>
+ <blockdef name="sev_seg_disp">
+ <timestamp>2012-2-14T0:35:26</timestamp>
+ <rect width="256" x="64" y="-768" height="768" />
+ <line x2="0" y1="-736" y2="-736" x1="64" />
+ <line x2="0" y1="-512" y2="-512" x1="64" />
+ <line x2="0" y1="-288" y2="-288" x1="64" />
+ <line x2="0" y1="-64" y2="-64" x1="64" />
+ <line x2="384" y1="-736" y2="-736" x1="320" />
+ <line x2="384" y1="-672" y2="-672" x1="320" />
+ <line x2="384" y1="-608" y2="-608" x1="320" />
+ <line x2="384" y1="-544" y2="-544" x1="320" />
+ <line x2="384" y1="-480" y2="-480" x1="320" />
+ <line x2="384" y1="-416" y2="-416" x1="320" />
+ <line x2="384" y1="-352" y2="-352" x1="320" />
+ <line x2="384" y1="-288" y2="-288" x1="320" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <line x2="384" y1="-160" y2="-160" x1="320" />
+ <line x2="384" y1="-96" y2="-96" x1="320" />
+ <line x2="384" y1="-32" y2="-32" x1="320" />
+ </blockdef>
+ <block symbolname="Divide" name="XLXI_1">
+ <blockpin signalname="A" name="b3" />
+ <blockpin signalname="D" name="b0" />
+ <blockpin signalname="C" name="b1" />
+ <blockpin signalname="B" name="b2" />
+ <blockpin signalname="XLXN_36" name="out0" />
+ <blockpin signalname="XLXN_35" name="out1" />
+ <blockpin signalname="XLXN_34" name="out2" />
+ <blockpin signalname="XLXN_33" name="out3" />
+ </block>
+ <block symbolname="Modulo" name="XLXI_2">
+ <blockpin signalname="D" name="b0" />
+ <blockpin signalname="A" name="b3" />
+ <blockpin signalname="B" name="b2" />
+ <blockpin signalname="C" name="b1" />
+ <blockpin signalname="XLXN_41" name="out3" />
+ <blockpin signalname="XLXN_39" name="out2" />
+ <blockpin signalname="XLXN_38" name="out1" />
+ <blockpin signalname="XLXN_37" name="out0" />
+ </block>
+ <block symbolname="Negate" name="XLXI_3">
+ <blockpin signalname="D" name="b0" />
+ <blockpin signalname="B" name="b2" />
+ <blockpin signalname="A" name="b3" />
+ <blockpin signalname="C" name="b1" />
+ <blockpin signalname="XLXN_44" name="out2" />
+ <blockpin signalname="XLXN_43" name="out1" />
+ <blockpin signalname="XLXN_42" name="out0" />
+ <blockpin signalname="XLXN_45" name="out3" />
+ </block>
+ <block symbolname="m4_1e" name="XLXI_4">
+ <blockpin signalname="A" name="D0" />
+ <blockpin signalname="XLXN_45" name="D1" />
+ <blockpin signalname="XLXN_33" name="D2" />
+ <blockpin signalname="XLXN_41" name="D3" />
+ <blockpin signalname="XLXN_12" name="E" />
+ <blockpin signalname="S0" name="S0" />
+ <blockpin signalname="S1" name="S1" />
+ <blockpin signalname="XLXN_22" name="O" />
+ </block>
+ <block symbolname="m4_1e" name="XLXI_5">
+ <blockpin signalname="B" name="D0" />
+ <blockpin signalname="XLXN_44" name="D1" />
+ <blockpin signalname="XLXN_34" name="D2" />
+ <blockpin signalname="XLXN_39" name="D3" />
+ <blockpin signalname="XLXN_12" name="E" />
+ <blockpin signalname="S0" name="S0" />
+ <blockpin signalname="S1" name="S1" />
+ <blockpin signalname="XLXN_23" name="O" />
+ </block>
+ <block symbolname="m4_1e" name="XLXI_6">
+ <blockpin signalname="C" name="D0" />
+ <blockpin signalname="XLXN_43" name="D1" />
+ <blockpin signalname="XLXN_35" name="D2" />
+ <blockpin signalname="XLXN_38" name="D3" />
+ <blockpin signalname="XLXN_12" name="E" />
+ <blockpin signalname="S0" name="S0" />
+ <blockpin signalname="S1" name="S1" />
+ <blockpin signalname="XLXN_24" name="O" />
+ </block>
+ <block symbolname="m4_1e" name="XLXI_7">
+ <blockpin signalname="D" name="D0" />
+ <blockpin signalname="XLXN_42" name="D1" />
+ <blockpin signalname="XLXN_36" name="D2" />
+ <blockpin signalname="XLXN_37" name="D3" />
+ <blockpin signalname="XLXN_12" name="E" />
+ <blockpin signalname="S0" name="S0" />
+ <blockpin signalname="S1" name="S1" />
+ <blockpin signalname="XLXN_25" name="O" />
+ </block>
+ <block symbolname="vcc" name="XLXI_8">
+ <blockpin signalname="XLXN_12" name="P" />
+ </block>
+ <block symbolname="sev_seg_disp" name="XLXI_9">
+ <blockpin signalname="XLXN_22" name="A" />
+ <blockpin signalname="XLXN_23" name="B" />
+ <blockpin signalname="XLXN_24" name="C" />
+ <blockpin signalname="XLXN_25" name="D" />
+ <blockpin signalname="a_out" name="a_out" />
+ <blockpin signalname="b_out" name="b_out" />
+ <blockpin signalname="c_out" name="c_out" />
+ <blockpin signalname="d_out" name="d_out" />
+ <blockpin signalname="e_out" name="e_out" />
+ <blockpin signalname="f_out" name="f_out" />
+ <blockpin signalname="g_out" name="g_out" />
+ <blockpin signalname="sign" name="sign" />
+ <blockpin signalname="AN0" name="AN0" />
+ <blockpin signalname="AN1" name="AN1" />
+ <blockpin signalname="AN2" name="AN2" />
+ <blockpin signalname="AN3" name="AN3" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <instance x="816" y="864" name="XLXI_1" orien="R0">
+ </instance>
+ <instance x="800" y="1344" name="XLXI_2" orien="R0">
+ </instance>
+ <instance x="800" y="1776" name="XLXI_3" orien="R0">
+ </instance>
+ <instance x="1888" y="720" name="XLXI_4" orien="R0" />
+ <instance x="1888" y="1200" name="XLXI_5" orien="R0" />
+ <instance x="1872" y="1664" name="XLXI_6" orien="R0" />
+ <instance x="1856" y="2160" name="XLXI_7" orien="R0" />
+ <branch name="A">
+ <wire x2="496" y1="176" y2="176" x1="240" />
+ <wire x2="496" y1="176" y2="640" x1="496" />
+ <wire x2="496" y1="640" y2="1184" x1="496" />
+ <wire x2="496" y1="1184" y2="1680" x1="496" />
+ <wire x2="800" y1="1680" y2="1680" x1="496" />
+ <wire x2="800" y1="1184" y2="1184" x1="496" />
+ <wire x2="816" y1="640" y2="640" x1="496" />
+ <wire x2="1184" y1="176" y2="176" x1="496" />
+ <wire x2="1184" y1="176" y2="304" x1="1184" />
+ <wire x2="1888" y1="304" y2="304" x1="1184" />
+ </branch>
+ <branch name="B">
+ <wire x2="640" y1="256" y2="256" x1="240" />
+ <wire x2="640" y1="256" y2="832" x1="640" />
+ <wire x2="640" y1="832" y2="1248" x1="640" />
+ <wire x2="640" y1="1248" y2="1616" x1="640" />
+ <wire x2="800" y1="1616" y2="1616" x1="640" />
+ <wire x2="800" y1="1248" y2="1248" x1="640" />
+ <wire x2="816" y1="832" y2="832" x1="640" />
+ <wire x2="1264" y1="256" y2="256" x1="640" />
+ <wire x2="1264" y1="256" y2="784" x1="1264" />
+ <wire x2="1888" y1="784" y2="784" x1="1264" />
+ </branch>
+ <branch name="C">
+ <wire x2="624" y1="352" y2="352" x1="240" />
+ <wire x2="624" y1="352" y2="768" x1="624" />
+ <wire x2="624" y1="768" y2="1312" x1="624" />
+ <wire x2="624" y1="1312" y2="1744" x1="624" />
+ <wire x2="800" y1="1744" y2="1744" x1="624" />
+ <wire x2="800" y1="1312" y2="1312" x1="624" />
+ <wire x2="816" y1="768" y2="768" x1="624" />
+ <wire x2="1248" y1="352" y2="352" x1="624" />
+ <wire x2="1248" y1="352" y2="1248" x1="1248" />
+ <wire x2="1872" y1="1248" y2="1248" x1="1248" />
+ </branch>
+ <iomarker fontsize="28" x="240" y="176" name="A" orien="R180" />
+ <iomarker fontsize="28" x="240" y="256" name="B" orien="R180" />
+ <iomarker fontsize="28" x="240" y="352" name="C" orien="R180" />
+ <iomarker fontsize="28" x="240" y="480" name="D" orien="R180" />
+ <instance x="1680" y="128" name="XLXI_8" orien="R0" />
+ <branch name="XLXN_12">
+ <wire x2="1744" y1="128" y2="688" x1="1744" />
+ <wire x2="1744" y1="688" y2="1168" x1="1744" />
+ <wire x2="1744" y1="1168" y2="1632" x1="1744" />
+ <wire x2="1744" y1="1632" y2="2128" x1="1744" />
+ <wire x2="1856" y1="2128" y2="2128" x1="1744" />
+ <wire x2="1872" y1="1632" y2="1632" x1="1744" />
+ <wire x2="1888" y1="1168" y2="1168" x1="1744" />
+ <wire x2="1888" y1="688" y2="688" x1="1744" />
+ </branch>
+ <branch name="S1">
+ <wire x2="1744" y1="2272" y2="2512" x1="1744" />
+ <wire x2="1808" y1="2272" y2="2272" x1="1744" />
+ <wire x2="1888" y1="624" y2="624" x1="1808" />
+ <wire x2="1808" y1="624" y2="1104" x1="1808" />
+ <wire x2="1888" y1="1104" y2="1104" x1="1808" />
+ <wire x2="1808" y1="1104" y2="1568" x1="1808" />
+ <wire x2="1872" y1="1568" y2="1568" x1="1808" />
+ <wire x2="1808" y1="1568" y2="2064" x1="1808" />
+ <wire x2="1808" y1="2064" y2="2272" x1="1808" />
+ <wire x2="1856" y1="2064" y2="2064" x1="1808" />
+ </branch>
+ <branch name="S0">
+ <wire x2="1888" y1="560" y2="560" x1="1664" />
+ <wire x2="1664" y1="560" y2="1040" x1="1664" />
+ <wire x2="1888" y1="1040" y2="1040" x1="1664" />
+ <wire x2="1664" y1="1040" y2="1504" x1="1664" />
+ <wire x2="1872" y1="1504" y2="1504" x1="1664" />
+ <wire x2="1664" y1="1504" y2="2000" x1="1664" />