summaryrefslogtreecommitdiff
path: root/Divide_0.sch
diff options
context:
space:
mode:
Diffstat (limited to 'Divide_0.sch')
-rwxr-xr-xDivide_0.sch337
1 files changed, 168 insertions, 169 deletions
diff --git a/Divide_0.sch b/Divide_0.sch
index f1040fd..1ad0c3d 100755
--- a/Divide_0.sch
+++ b/Divide_0.sch
@@ -1,170 +1,169 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="XLXN_1" />
- <signal name="XLXN_2" />
- <signal name="XLXN_3" />
- <signal name="XLXN_4" />
- <signal name="XLXN_5" />
- <signal name="XLXN_6" />
- <signal name="result" />
- <signal name="b2" />
- <signal name="b3" />
- <signal name="b1" />
- <signal name="b0" />
- <port polarity="Output" name="result" />
- <port polarity="Input" name="b2" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b1" />
- <port polarity="Input" name="b0" />
- <blockdef name="and3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="192" y1="-128" y2="-128" x1="256" />
- <line x2="144" y1="-176" y2="-176" x1="64" />
- <line x2="64" y1="-80" y2="-80" x1="144" />
- <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
- <line x2="64" y1="-64" y2="-192" x1="64" />
- </blockdef>
- <blockdef name="and2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="192" y1="-96" y2="-96" x1="256" />
- <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
- <line x2="64" y1="-48" y2="-48" x1="144" />
- <line x2="144" y1="-144" y2="-144" x1="64" />
- <line x2="64" y1="-48" y2="-144" x1="64" />
- </blockdef>
- <blockdef name="inv">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-32" y2="-32" x1="0" />
- <line x2="160" y1="-32" y2="-32" x1="224" />
- <line x2="128" y1="-64" y2="-32" x1="64" />
- <line x2="64" y1="-32" y2="0" x1="128" />
- <line x2="64" y1="0" y2="-64" x1="64" />
- <circle r="16" cx="144" cy="-32" />
- </blockdef>
- <blockdef name="or3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="48" y1="-64" y2="-64" x1="0" />
- <line x2="72" y1="-128" y2="-128" x1="0" />
- <line x2="48" y1="-192" y2="-192" x1="0" />
- <line x2="192" y1="-128" y2="-128" x1="256" />
- <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
- <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
- <line x2="48" y1="-64" y2="-80" x1="48" />
- <line x2="48" y1="-192" y2="-176" x1="48" />
- <line x2="48" y1="-80" y2="-80" x1="112" />
- <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
- <line x2="48" y1="-176" y2="-176" x1="112" />
- </blockdef>
- <block symbolname="and3" name="XLXI_1">
- <blockpin signalname="b0" name="I0" />
- <blockpin signalname="b3" name="I1" />
- <blockpin signalname="XLXN_2" name="I2" />
- <blockpin signalname="XLXN_5" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_2">
- <blockpin signalname="b1" name="I0" />
- <blockpin signalname="XLXN_1" name="I1" />
- <blockpin signalname="XLXN_4" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_3">
- <blockpin signalname="b1" name="I0" />
- <blockpin signalname="XLXN_3" name="I1" />
- <blockpin signalname="XLXN_6" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_5">
- <blockpin signalname="b1" name="I" />
- <blockpin signalname="XLXN_2" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_6">
- <blockpin signalname="b0" name="I" />
- <blockpin signalname="XLXN_3" name="O" />
- </block>
- <block symbolname="or3" name="XLXI_7">
- <blockpin signalname="XLXN_6" name="I0" />
- <blockpin signalname="XLXN_5" name="I1" />
- <blockpin signalname="XLXN_4" name="I2" />
- <blockpin signalname="result" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_4">
- <blockpin signalname="b3" name="I" />
- <blockpin signalname="XLXN_1" name="O" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <instance x="1936" y="1488" name="XLXI_1" orien="R0" />
- <instance x="1952" y="1152" name="XLXI_2" orien="R0" />
- <instance x="1920" y="1808" name="XLXI_3" orien="R0" />
- <branch name="XLXN_1">
- <wire x2="1952" y1="1024" y2="1024" x1="1920" />
- </branch>
- <branch name="XLXN_2">
- <wire x2="1936" y1="1296" y2="1296" x1="1904" />
- </branch>
- <instance x="1680" y="1328" name="XLXI_5" orien="R0" />
- <branch name="XLXN_3">
- <wire x2="1920" y1="1680" y2="1680" x1="1888" />
- </branch>
- <instance x="1664" y="1712" name="XLXI_6" orien="R0" />
- <instance x="2304" y="1488" name="XLXI_7" orien="R0" />
- <branch name="XLXN_4">
- <wire x2="2304" y1="1056" y2="1056" x1="2208" />
- <wire x2="2304" y1="1056" y2="1296" x1="2304" />
- </branch>
- <branch name="XLXN_5">
- <wire x2="2304" y1="1360" y2="1360" x1="2192" />
- </branch>
- <branch name="XLXN_6">
- <wire x2="2304" y1="1712" y2="1712" x1="2176" />
- <wire x2="2304" y1="1424" y2="1712" x1="2304" />
- </branch>
- <branch name="result">
- <wire x2="2592" y1="1360" y2="1360" x1="2560" />
- </branch>
- <iomarker fontsize="28" x="2592" y="1360" name="result" orien="R0" />
- <branch name="b2">
- <wire x2="2192" y1="1952" y2="1952" x1="1664" />
- </branch>
- <iomarker fontsize="28" x="1664" y="1952" name="b2" orien="R180" />
- <instance x="1696" y="1056" name="XLXI_4" orien="R0" />
- <branch name="b3">
- <wire x2="1696" y1="1024" y2="1024" x1="1664" />
- </branch>
- <iomarker fontsize="28" x="1664" y="1024" name="b3" orien="R180" />
- <branch name="b1">
- <wire x2="1952" y1="1088" y2="1088" x1="1920" />
- </branch>
- <iomarker fontsize="28" x="1920" y="1088" name="b1" orien="R180" />
- <branch name="b1">
- <wire x2="1680" y1="1296" y2="1296" x1="1648" />
- </branch>
- <iomarker fontsize="28" x="1648" y="1296" name="b1" orien="R180" />
- <branch name="b3">
- <wire x2="1936" y1="1360" y2="1360" x1="1904" />
- </branch>
- <iomarker fontsize="28" x="1904" y="1360" name="b3" orien="R180" />
- <branch name="b0">
- <wire x2="1936" y1="1424" y2="1424" x1="1904" />
- </branch>
- <iomarker fontsize="28" x="1904" y="1424" name="b0" orien="R180" />
- <branch name="b0">
- <wire x2="1648" y1="1680" y2="1680" x1="1632" />
- <wire x2="1664" y1="1680" y2="1680" x1="1648" />
- </branch>
- <branch name="b1">
- <wire x2="1920" y1="1744" y2="1744" x1="1888" />
- </branch>
- <iomarker fontsize="28" x="1888" y="1744" name="b1" orien="R180" />
- <iomarker fontsize="28" x="1632" y="1680" name="b0" orien="R180" />
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="XLXN_2" />
+ <signal name="XLXN_3" />
+ <signal name="XLXN_4" />
+ <signal name="XLXN_5" />
+ <signal name="XLXN_6" />
+ <signal name="result" />
+ <signal name="b2" />
+ <signal name="b3" />
+ <signal name="b1" />
+ <signal name="b0" />
+ <signal name="XLXN_12" />
+ <port polarity="Output" name="result" />
+ <port polarity="Input" name="b2" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Input" name="b0" />
+ <blockdef name="and3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <line x2="144" y1="-176" y2="-176" x1="64" />
+ <line x2="64" y1="-80" y2="-80" x1="144" />
+ <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
+ <line x2="64" y1="-64" y2="-192" x1="64" />
+ </blockdef>
+ <blockdef name="and2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
+ <line x2="64" y1="-48" y2="-48" x1="144" />
+ <line x2="144" y1="-144" y2="-144" x1="64" />
+ <line x2="64" y1="-48" y2="-144" x1="64" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <blockdef name="or3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="72" y1="-128" y2="-128" x1="0" />
+ <line x2="48" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
+ <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
+ <line x2="48" y1="-64" y2="-80" x1="48" />
+ <line x2="48" y1="-192" y2="-176" x1="48" />
+ <line x2="48" y1="-80" y2="-80" x1="112" />
+ <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
+ <line x2="48" y1="-176" y2="-176" x1="112" />
+ </blockdef>
+ <block symbolname="and3" name="XLXI_1">
+ <blockpin signalname="b0" name="I0" />
+ <blockpin signalname="b3" name="I1" />
+ <blockpin signalname="XLXN_2" name="I2" />
+ <blockpin signalname="XLXN_5" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_2">
+ <blockpin signalname="b1" name="I0" />
+ <blockpin signalname="XLXN_12" name="I1" />
+ <blockpin signalname="XLXN_4" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_3">
+ <blockpin signalname="b1" name="I0" />
+ <blockpin signalname="XLXN_3" name="I1" />
+ <blockpin signalname="XLXN_6" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_5">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_2" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_6">
+ <blockpin signalname="b0" name="I" />
+ <blockpin signalname="XLXN_3" name="O" />
+ </block>
+ <block symbolname="or3" name="XLXI_7">
+ <blockpin signalname="XLXN_6" name="I0" />
+ <blockpin signalname="XLXN_5" name="I1" />
+ <blockpin signalname="XLXN_4" name="I2" />
+ <blockpin signalname="result" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_9">
+ <blockpin signalname="b3" name="I" />
+ <blockpin signalname="XLXN_12" name="O" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <instance x="1936" y="1488" name="XLXI_1" orien="R0" />
+ <instance x="1952" y="1152" name="XLXI_2" orien="R0" />
+ <instance x="1920" y="1808" name="XLXI_3" orien="R0" />
+ <branch name="XLXN_2">
+ <wire x2="1936" y1="1296" y2="1296" x1="1856" />
+ </branch>
+ <branch name="XLXN_3">
+ <wire x2="1920" y1="1680" y2="1680" x1="1888" />
+ </branch>
+ <instance x="1664" y="1712" name="XLXI_6" orien="R0" />
+ <instance x="2304" y="1488" name="XLXI_7" orien="R0" />
+ <branch name="XLXN_4">
+ <wire x2="2304" y1="1056" y2="1056" x1="2208" />
+ <wire x2="2304" y1="1056" y2="1296" x1="2304" />
+ </branch>
+ <branch name="XLXN_5">
+ <wire x2="2304" y1="1360" y2="1360" x1="2192" />
+ </branch>
+ <branch name="XLXN_6">
+ <wire x2="2304" y1="1712" y2="1712" x1="2176" />
+ <wire x2="2304" y1="1424" y2="1712" x1="2304" />
+ </branch>
+ <branch name="result">
+ <wire x2="2592" y1="1360" y2="1360" x1="2560" />
+ </branch>
+ <iomarker fontsize="28" x="2592" y="1360" name="result" orien="R0" />
+ <branch name="b2">
+ <wire x2="2400" y1="1952" y2="1952" x1="1664" />
+ </branch>
+ <iomarker fontsize="28" x="1664" y="1952" name="b2" orien="R180" />
+ <branch name="b1">
+ <wire x2="1952" y1="1088" y2="1088" x1="1920" />
+ </branch>
+ <iomarker fontsize="28" x="1920" y="1088" name="b1" orien="R180" />
+ <branch name="b3">
+ <wire x2="1936" y1="1360" y2="1360" x1="1904" />
+ </branch>
+ <iomarker fontsize="28" x="1904" y="1360" name="b3" orien="R180" />
+ <branch name="b0">
+ <wire x2="1936" y1="1424" y2="1424" x1="1904" />
+ </branch>
+ <iomarker fontsize="28" x="1904" y="1424" name="b0" orien="R180" />
+ <branch name="b0">
+ <wire x2="1664" y1="1680" y2="1680" x1="1632" />
+ </branch>
+ <branch name="b1">
+ <wire x2="1920" y1="1744" y2="1744" x1="1888" />
+ </branch>
+ <iomarker fontsize="28" x="1888" y="1744" name="b1" orien="R180" />
+ <iomarker fontsize="28" x="1632" y="1680" name="b0" orien="R180" />
+ <instance x="1632" y="1328" name="XLXI_5" orien="R0" />
+ <branch name="b1">
+ <wire x2="1632" y1="1296" y2="1296" x1="1568" />
+ </branch>
+ <iomarker fontsize="28" x="1568" y="1296" name="b1" orien="R180" />
+ <branch name="XLXN_12">
+ <wire x2="1952" y1="1024" y2="1024" x1="1920" />
+ </branch>
+ <instance x="1696" y="1056" name="XLXI_9" orien="R0" />
+ <branch name="b3">
+ <wire x2="1696" y1="1024" y2="1024" x1="1664" />
+ </branch>
+ <iomarker fontsize="28" x="1664" y="1024" name="b3" orien="R180" />
+ </sheet>
</drawing> \ No newline at end of file