summaryrefslogtreecommitdiff
path: root/Negate.sch
diff options
context:
space:
mode:
Diffstat (limited to 'Negate.sch')
-rwxr-xr-xNegate.sch338
1 files changed, 169 insertions, 169 deletions
diff --git a/Negate.sch b/Negate.sch
index e61f5b1..5d0ac8c 100755
--- a/Negate.sch
+++ b/Negate.sch
@@ -1,170 +1,170 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="b0" />
- <signal name="b2" />
- <signal name="b3" />
- <signal name="b1" />
- <signal name="out2" />
- <signal name="out1" />
- <signal name="out0" />
- <signal name="out3" />
- <port polarity="Input" name="b0" />
- <port polarity="Input" name="b2" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b1" />
- <port polarity="Output" name="out2" />
- <port polarity="Output" name="out1" />
- <port polarity="Output" name="out0" />
- <port polarity="Output" name="out3" />
- <blockdef name="Negate_0">
- <timestamp>2012-2-16T0:44:2</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <blockdef name="Negate_1">
- <timestamp>2012-2-16T0:43:59</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <blockdef name="Negate_2">
- <timestamp>2012-2-16T0:43:56</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <blockdef name="Negate_3">
- <timestamp>2012-2-16T0:43:52</timestamp>
- <rect width="256" x="64" y="-256" height="256" />
- <line x2="0" y1="-224" y2="-224" x1="64" />
- <line x2="0" y1="-160" y2="-160" x1="64" />
- <line x2="0" y1="-96" y2="-96" x1="64" />
- <line x2="0" y1="-32" y2="-32" x1="64" />
- <line x2="384" y1="-224" y2="-224" x1="320" />
- </blockdef>
- <block symbolname="Negate_0" name="XLXI_8">
- <blockpin signalname="b0" name="b0" />
- <blockpin signalname="b1" name="b1" />
- <blockpin signalname="b2" name="b2" />
- <blockpin signalname="b3" name="b3" />
- <blockpin signalname="out0" name="result" />
- </block>
- <block symbolname="Negate_2" name="XLXI_10">
- <blockpin signalname="b2" name="b2" />
- <blockpin signalname="b3" name="b3" />
- <blockpin signalname="b0" name="b0" />
- <blockpin signalname="b1" name="b1" />
- <blockpin signalname="out2" name="result" />
- </block>
- <block symbolname="Negate_1" name="XLXI_9">
- <blockpin signalname="b0" name="b0" />
- <blockpin signalname="b1" name="b1" />
- <blockpin signalname="b2" name="b2" />
- <blockpin signalname="b3" name="b3" />
- <blockpin signalname="out1" name="result" />
- </block>
- <block symbolname="Negate_3" name="XLXI_12">
- <blockpin signalname="b3" name="b3" />
- <blockpin signalname="b2" name="b0" />
- <blockpin signalname="b1" name="b1" />
- <blockpin signalname="b0" name="b2" />
- <blockpin signalname="out3" name="result" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <instance x="1248" y="1216" name="XLXI_8" orien="R0">
- </instance>
- <instance x="1248" y="1888" name="XLXI_10" orien="R0">
- </instance>
- <branch name="b0">
- <wire x2="1232" y1="992" y2="992" x1="864" />
- <wire x2="1248" y1="992" y2="992" x1="1232" />
- <wire x2="1232" y1="992" y2="1328" x1="1232" />
- <wire x2="1248" y1="1328" y2="1328" x1="1232" />
- <wire x2="1232" y1="1328" y2="1664" x1="1232" />
- <wire x2="1248" y1="1664" y2="1664" x1="1232" />
- <wire x2="1232" y1="1664" y2="2256" x1="1232" />
- <wire x2="1248" y1="2256" y2="2256" x1="1232" />
- </branch>
- <branch name="b2">
- <wire x2="1200" y1="1136" y2="1136" x1="864" />
- <wire x2="1200" y1="1136" y2="1456" x1="1200" />
- <wire x2="1248" y1="1456" y2="1456" x1="1200" />
- <wire x2="1200" y1="1456" y2="1792" x1="1200" />
- <wire x2="1248" y1="1792" y2="1792" x1="1200" />
- <wire x2="1200" y1="1792" y2="2128" x1="1200" />
- <wire x2="1248" y1="2128" y2="2128" x1="1200" />
- <wire x2="1248" y1="1120" y2="1120" x1="1200" />
- <wire x2="1200" y1="1120" y2="1136" x1="1200" />
- </branch>
- <instance x="1248" y="1552" name="XLXI_9" orien="R0">
- </instance>
- <branch name="b1">
- <wire x2="1168" y1="1072" y2="1072" x1="864" />
- <wire x2="1168" y1="1072" y2="1392" x1="1168" />
- <wire x2="1248" y1="1392" y2="1392" x1="1168" />
- <wire x2="1168" y1="1392" y2="1728" x1="1168" />
- <wire x2="1248" y1="1728" y2="1728" x1="1168" />
- <wire x2="1168" y1="1728" y2="2192" x1="1168" />
- <wire x2="1248" y1="2192" y2="2192" x1="1168" />
- <wire x2="1248" y1="1056" y2="1056" x1="1168" />
- <wire x2="1168" y1="1056" y2="1072" x1="1168" />
- </branch>
- <iomarker fontsize="28" x="864" y="992" name="b0" orien="R180" />
- <iomarker fontsize="28" x="864" y="1072" name="b1" orien="R180" />
- <iomarker fontsize="28" x="864" y="1184" name="b3" orien="R180" />
- <iomarker fontsize="28" x="864" y="1136" name="b2" orien="R180" />
- <instance x="1248" y="2288" name="XLXI_12" orien="R0">
- </instance>
- <branch name="b3">
- <wire x2="1184" y1="1184" y2="1184" x1="864" />
- <wire x2="1248" y1="1184" y2="1184" x1="1184" />
- <wire x2="1184" y1="1184" y2="1520" x1="1184" />
- <wire x2="1248" y1="1520" y2="1520" x1="1184" />
- <wire x2="1184" y1="1520" y2="1856" x1="1184" />
- <wire x2="1248" y1="1856" y2="1856" x1="1184" />
- <wire x2="1184" y1="1856" y2="2064" x1="1184" />
- <wire x2="1248" y1="2064" y2="2064" x1="1184" />
- </branch>
- <branch name="out2">
- <wire x2="1696" y1="1664" y2="1664" x1="1632" />
- <wire x2="1696" y1="1664" y2="1680" x1="1696" />
- <wire x2="1840" y1="1680" y2="1680" x1="1696" />
- </branch>
- <branch name="out1">
- <wire x2="1696" y1="1328" y2="1328" x1="1632" />
- <wire x2="1696" y1="1328" y2="1344" x1="1696" />
- <wire x2="1840" y1="1344" y2="1344" x1="1696" />
- </branch>
- <branch name="out0">
- <wire x2="1696" y1="992" y2="992" x1="1632" />
- <wire x2="1696" y1="992" y2="1008" x1="1696" />
- <wire x2="1840" y1="1008" y2="1008" x1="1696" />
- </branch>
- <branch name="out3">
- <wire x2="1824" y1="2064" y2="2064" x1="1632" />
- <wire x2="1856" y1="2000" y2="2000" x1="1824" />
- <wire x2="1824" y1="2000" y2="2064" x1="1824" />
- </branch>
- <iomarker fontsize="28" x="1840" y="1008" name="out0" orien="R0" />
- <iomarker fontsize="28" x="1840" y="1344" name="out1" orien="R0" />
- <iomarker fontsize="28" x="1840" y="1680" name="out2" orien="R0" />
- <iomarker fontsize="28" x="1856" y="2000" name="out3" orien="R0" />
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="b0" />
+ <signal name="b2" />
+ <signal name="b3" />
+ <signal name="b1" />
+ <signal name="out2" />
+ <signal name="out1" />
+ <signal name="out0" />
+ <signal name="out3" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Input" name="b2" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Output" name="out2" />
+ <port polarity="Output" name="out1" />
+ <port polarity="Output" name="out0" />
+ <port polarity="Output" name="out3" />
+ <blockdef name="Negate_0">
+ <timestamp>2012-2-16T23:39:45</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </blockdef>
+ <blockdef name="Negate_1">
+ <timestamp>2012-2-16T23:39:49</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </blockdef>
+ <blockdef name="Negate_2">
+ <timestamp>2012-2-16T23:53:56</timestamp>
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ <rect width="256" x="64" y="-256" height="320" />
+ </blockdef>
+ <blockdef name="Negate_3">
+ <timestamp>2012-2-16T23:39:56</timestamp>
+ <rect width="256" x="64" y="-256" height="256" />
+ <line x2="0" y1="-224" y2="-224" x1="64" />
+ <line x2="0" y1="-160" y2="-160" x1="64" />
+ <line x2="0" y1="-96" y2="-96" x1="64" />
+ <line x2="0" y1="-32" y2="-32" x1="64" />
+ <line x2="384" y1="-224" y2="-224" x1="320" />
+ </blockdef>
+ <block symbolname="Negate_0" name="XLXI_8">
+ <blockpin signalname="b0" name="b0" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="b2" name="b2" />
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="out0" name="result" />
+ </block>
+ <block symbolname="Negate_2" name="XLXI_10">
+ <blockpin signalname="b2" name="b2" />
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="b0" name="b0" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="out2" name="result" />
+ </block>
+ <block symbolname="Negate_1" name="XLXI_9">
+ <blockpin signalname="b0" name="b0" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="b2" name="b2" />
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="out1" name="result" />
+ </block>
+ <block symbolname="Negate_3" name="XLXI_12">
+ <blockpin signalname="b3" name="b3" />
+ <blockpin signalname="b2" name="b0" />
+ <blockpin signalname="b1" name="b1" />
+ <blockpin signalname="b0" name="b2" />
+ <blockpin signalname="out3" name="result" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <instance x="1248" y="1216" name="XLXI_8" orien="R0">
+ </instance>
+ <instance x="1248" y="1888" name="XLXI_10" orien="R0">
+ </instance>
+ <branch name="b0">
+ <wire x2="1232" y1="992" y2="992" x1="864" />
+ <wire x2="1248" y1="992" y2="992" x1="1232" />
+ <wire x2="1232" y1="992" y2="1328" x1="1232" />
+ <wire x2="1248" y1="1328" y2="1328" x1="1232" />
+ <wire x2="1232" y1="1328" y2="1664" x1="1232" />
+ <wire x2="1248" y1="1664" y2="1664" x1="1232" />
+ <wire x2="1232" y1="1664" y2="2256" x1="1232" />
+ <wire x2="1248" y1="2256" y2="2256" x1="1232" />
+ </branch>
+ <branch name="b2">
+ <wire x2="1200" y1="1136" y2="1136" x1="864" />
+ <wire x2="1200" y1="1136" y2="1456" x1="1200" />
+ <wire x2="1248" y1="1456" y2="1456" x1="1200" />
+ <wire x2="1200" y1="1456" y2="1792" x1="1200" />
+ <wire x2="1248" y1="1792" y2="1792" x1="1200" />
+ <wire x2="1200" y1="1792" y2="2128" x1="1200" />
+ <wire x2="1248" y1="2128" y2="2128" x1="1200" />
+ <wire x2="1248" y1="1120" y2="1120" x1="1200" />
+ <wire x2="1200" y1="1120" y2="1136" x1="1200" />
+ </branch>
+ <instance x="1248" y="1552" name="XLXI_9" orien="R0">
+ </instance>
+ <branch name="b1">
+ <wire x2="1168" y1="1072" y2="1072" x1="864" />
+ <wire x2="1168" y1="1072" y2="1392" x1="1168" />
+ <wire x2="1248" y1="1392" y2="1392" x1="1168" />
+ <wire x2="1168" y1="1392" y2="1728" x1="1168" />
+ <wire x2="1248" y1="1728" y2="1728" x1="1168" />
+ <wire x2="1168" y1="1728" y2="2192" x1="1168" />
+ <wire x2="1248" y1="2192" y2="2192" x1="1168" />
+ <wire x2="1248" y1="1056" y2="1056" x1="1168" />
+ <wire x2="1168" y1="1056" y2="1072" x1="1168" />
+ </branch>
+ <iomarker fontsize="28" x="864" y="992" name="b0" orien="R180" />
+ <iomarker fontsize="28" x="864" y="1072" name="b1" orien="R180" />
+ <iomarker fontsize="28" x="864" y="1184" name="b3" orien="R180" />
+ <iomarker fontsize="28" x="864" y="1136" name="b2" orien="R180" />
+ <instance x="1248" y="2288" name="XLXI_12" orien="R0">
+ </instance>
+ <branch name="b3">
+ <wire x2="1184" y1="1184" y2="1184" x1="864" />
+ <wire x2="1248" y1="1184" y2="1184" x1="1184" />
+ <wire x2="1184" y1="1184" y2="1520" x1="1184" />
+ <wire x2="1248" y1="1520" y2="1520" x1="1184" />
+ <wire x2="1184" y1="1520" y2="1856" x1="1184" />
+ <wire x2="1248" y1="1856" y2="1856" x1="1184" />
+ <wire x2="1184" y1="1856" y2="2064" x1="1184" />
+ <wire x2="1248" y1="2064" y2="2064" x1="1184" />
+ </branch>
+ <branch name="out2">
+ <wire x2="1696" y1="1664" y2="1664" x1="1632" />
+ <wire x2="1696" y1="1664" y2="1680" x1="1696" />
+ <wire x2="1840" y1="1680" y2="1680" x1="1696" />
+ </branch>
+ <branch name="out1">
+ <wire x2="1696" y1="1328" y2="1328" x1="1632" />
+ <wire x2="1696" y1="1328" y2="1344" x1="1696" />
+ <wire x2="1840" y1="1344" y2="1344" x1="1696" />
+ </branch>
+ <branch name="out0">
+ <wire x2="1696" y1="992" y2="992" x1="1632" />
+ <wire x2="1696" y1="992" y2="1008" x1="1696" />
+ <wire x2="1840" y1="1008" y2="1008" x1="1696" />
+ </branch>
+ <branch name="out3">
+ <wire x2="1824" y1="2064" y2="2064" x1="1632" />
+ <wire x2="1856" y1="2000" y2="2000" x1="1824" />
+ <wire x2="1824" y1="2000" y2="2064" x1="1824" />
+ </branch>
+ <iomarker fontsize="28" x="1840" y="1008" name="out0" orien="R0" />
+ <iomarker fontsize="28" x="1840" y="1344" name="out1" orien="R0" />
+ <iomarker fontsize="28" x="1840" y="1680" name="out2" orien="R0" />
+ <iomarker fontsize="28" x="1856" y="2000" name="out3" orien="R0" />
+ </sheet>
</drawing> \ No newline at end of file