summaryrefslogtreecommitdiff
path: root/Negate_2.sch
diff options
context:
space:
mode:
Diffstat (limited to 'Negate_2.sch')
-rwxr-xr-xNegate_2.sch369
1 files changed, 191 insertions, 178 deletions
diff --git a/Negate_2.sch b/Negate_2.sch
index 2aa228c..5d7d5a3 100755
--- a/Negate_2.sch
+++ b/Negate_2.sch
@@ -1,179 +1,192 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="result" />
- <signal name="b2" />
- <signal name="XLXN_35" />
- <signal name="XLXN_37" />
- <signal name="XLXN_40" />
- <signal name="XLXN_41" />
- <signal name="XLXN_43" />
- <signal name="XLXN_44" />
- <signal name="XLXN_45" />
- <signal name="XLXN_46" />
- <signal name="XLXN_48" />
- <signal name="XLXN_49" />
- <signal name="XLXN_50" />
- <signal name="b3" />
- <signal name="b0" />
- <signal name="b1" />
- <signal name="XLXN_58" />
- <signal name="XLXN_59" />
- <signal name="XLXN_60" />
- <signal name="XLXN_61" />
- <port polarity="Output" name="result" />
- <port polarity="Input" name="b2" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b0" />
- <port polarity="Input" name="b1" />
- <blockdef name="and2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="192" y1="-96" y2="-96" x1="256" />
- <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
- <line x2="64" y1="-48" y2="-48" x1="144" />
- <line x2="144" y1="-144" y2="-144" x1="64" />
- <line x2="64" y1="-48" y2="-144" x1="64" />
- </blockdef>
- <blockdef name="or4">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="48" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="48" y1="-256" y2="-256" x1="0" />
- <line x2="192" y1="-160" y2="-160" x1="256" />
- <arc ex="112" ey="-208" sx="192" sy="-160" r="88" cx="116" cy="-120" />
- <line x2="48" y1="-208" y2="-208" x1="112" />
- <line x2="48" y1="-112" y2="-112" x1="112" />
- <line x2="48" y1="-256" y2="-208" x1="48" />
- <line x2="48" y1="-64" y2="-112" x1="48" />
- <arc ex="48" ey="-208" sx="48" sy="-112" r="56" cx="16" cy="-160" />
- <arc ex="192" ey="-160" sx="112" sy="-112" r="88" cx="116" cy="-200" />
- </blockdef>
- <blockdef name="nand3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="64" y1="-192" y2="-192" x1="0" />
- <line x2="216" y1="-128" y2="-128" x1="256" />
- <circle r="12" cx="204" cy="-128" />
- <line x2="144" y1="-176" y2="-176" x1="64" />
- <line x2="64" y1="-80" y2="-80" x1="144" />
- <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
- <line x2="64" y1="-64" y2="-192" x1="64" />
- </blockdef>
- <blockdef name="inv">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-32" y2="-32" x1="0" />
- <line x2="160" y1="-32" y2="-32" x1="224" />
- <line x2="128" y1="-64" y2="-32" x1="64" />
- <line x2="64" y1="-32" y2="0" x1="128" />
- <line x2="64" y1="0" y2="-64" x1="64" />
- <circle r="16" cx="144" cy="-32" />
- </blockdef>
- <block symbolname="or4" name="XLXI_8">
- <blockpin signalname="XLXN_37" name="I0" />
- <blockpin signalname="XLXN_41" name="I1" />
- <blockpin signalname="XLXN_40" name="I2" />
- <blockpin signalname="XLXN_35" name="I3" />
- <blockpin signalname="result" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_9">
- <blockpin signalname="XLXN_44" name="I0" />
- <blockpin signalname="b3" name="I1" />
- <blockpin signalname="XLXN_35" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_10">
- <blockpin signalname="b1" name="I0" />
- <blockpin signalname="XLXN_44" name="I1" />
- <blockpin signalname="XLXN_41" name="O" />
- </block>
- <block symbolname="and2" name="XLXI_11">
- <blockpin signalname="b0" name="I0" />
- <blockpin signalname="XLXN_44" name="I1" />
- <blockpin signalname="XLXN_40" name="O" />
- </block>
- <block symbolname="nand3" name="XLXI_12">
- <blockpin signalname="b1" name="I0" />
- <blockpin signalname="b0" name="I1" />
- <blockpin signalname="XLXN_44" name="I2" />
- <blockpin signalname="XLXN_37" name="O" />
- </block>
- <block symbolname="inv" name="XLXI_14">
- <blockpin signalname="b2" name="I" />
- <blockpin signalname="XLXN_44" name="O" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <branch name="result">
- <wire x2="2240" y1="960" y2="960" x1="2208" />
- </branch>
- <iomarker fontsize="28" x="2240" y="960" name="result" orien="R0" />
- <instance x="1952" y="1120" name="XLXI_8" orien="R0" />
- <instance x="1680" y="848" name="XLXI_9" orien="R0" />
- <branch name="XLXN_35">
- <wire x2="1952" y1="752" y2="752" x1="1936" />
- <wire x2="1952" y1="752" y2="864" x1="1952" />
- </branch>
- <branch name="XLXN_40">
- <wire x2="1952" y1="928" y2="928" x1="1920" />
- </branch>
- <instance x="1664" y="1024" name="XLXI_11" orien="R0" />
- <instance x="1376" y="816" name="XLXI_14" orien="R0" />
- <branch name="XLXN_44">
- <wire x2="1616" y1="784" y2="784" x1="1600" />
- <wire x2="1680" y1="784" y2="784" x1="1616" />
- <wire x2="1616" y1="784" y2="896" x1="1616" />
- <wire x2="1664" y1="896" y2="896" x1="1616" />
- <wire x2="1616" y1="896" y2="1056" x1="1616" />
- <wire x2="1648" y1="1056" y2="1056" x1="1616" />
- <wire x2="1616" y1="1056" y2="1056" x1="1600" />
- <wire x2="1600" y1="1056" y2="1248" x1="1600" />
- <wire x2="1632" y1="1248" y2="1248" x1="1600" />
- </branch>
- <branch name="XLXN_41">
- <wire x2="1904" y1="992" y2="1088" x1="1904" />
- <wire x2="1952" y1="992" y2="992" x1="1904" />
- </branch>
- <branch name="XLXN_37">
- <wire x2="1936" y1="1312" y2="1312" x1="1888" />
- <wire x2="1952" y1="1056" y2="1056" x1="1936" />
- <wire x2="1936" y1="1056" y2="1312" x1="1936" />
- </branch>
- <instance x="1648" y="1184" name="XLXI_10" orien="R0" />
- <instance x="1632" y="1440" name="XLXI_12" orien="R0" />
- <branch name="b3">
- <wire x2="1680" y1="720" y2="720" x1="1616" />
- </branch>
- <iomarker fontsize="28" x="1616" y="720" name="b3" orien="R180" />
- <iomarker fontsize="28" x="1312" y="784" name="b2" orien="R180" />
- <branch name="b2">
- <wire x2="1376" y1="784" y2="784" x1="1312" />
- </branch>
- <iomarker fontsize="28" x="1408" y="1056" name="b0" orien="R180" />
- <branch name="b1">
- <wire x2="1488" y1="1136" y2="1136" x1="1424" />
- <wire x2="1568" y1="1136" y2="1136" x1="1488" />
- <wire x2="1568" y1="1136" y2="1376" x1="1568" />
- <wire x2="1632" y1="1376" y2="1376" x1="1568" />
- <wire x2="1568" y1="1120" y2="1136" x1="1568" />
- <wire x2="1648" y1="1120" y2="1120" x1="1568" />
- </branch>
- <iomarker fontsize="28" x="1424" y="1136" name="b1" orien="R180" />
- <branch name="b0">
- <wire x2="1440" y1="1056" y2="1056" x1="1408" />
- <wire x2="1440" y1="960" y2="1056" x1="1440" />
- <wire x2="1536" y1="960" y2="960" x1="1440" />
- <wire x2="1664" y1="960" y2="960" x1="1536" />
- <wire x2="1536" y1="960" y2="1120" x1="1536" />
- <wire x2="1536" y1="1120" y2="1312" x1="1536" />
- <wire x2="1632" y1="1312" y2="1312" x1="1536" />
- </branch>
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="result" />
+ <signal name="b2" />
+ <signal name="XLXN_35" />
+ <signal name="XLXN_37" />
+ <signal name="XLXN_40" />
+ <signal name="XLXN_41" />
+ <signal name="XLXN_44" />
+ <signal name="b3" />
+ <signal name="b0" />
+ <signal name="b1" />
+ <signal name="XLXN_47" />
+ <signal name="XLXN_48" />
+ <signal name="XLXN_49" />
+ <signal name="XLXN_50" />
+ <port polarity="Output" name="result" />
+ <port polarity="Input" name="b2" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Input" name="b1" />
+ <blockdef name="and2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
+ <line x2="64" y1="-48" y2="-48" x1="144" />
+ <line x2="144" y1="-144" y2="-144" x1="64" />
+ <line x2="64" y1="-48" y2="-144" x1="64" />
+ </blockdef>
+ <blockdef name="or4">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="48" y1="-256" y2="-256" x1="0" />
+ <line x2="192" y1="-160" y2="-160" x1="256" />
+ <arc ex="112" ey="-208" sx="192" sy="-160" r="88" cx="116" cy="-120" />
+ <line x2="48" y1="-208" y2="-208" x1="112" />
+ <line x2="48" y1="-112" y2="-112" x1="112" />
+ <line x2="48" y1="-256" y2="-208" x1="48" />
+ <line x2="48" y1="-64" y2="-112" x1="48" />
+ <arc ex="48" ey="-208" sx="48" sy="-112" r="56" cx="16" cy="-160" />
+ <arc ex="192" ey="-160" sx="112" sy="-112" r="88" cx="116" cy="-200" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <blockdef name="and3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="64" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <line x2="144" y1="-176" y2="-176" x1="64" />
+ <line x2="64" y1="-80" y2="-80" x1="144" />
+ <arc ex="144" ey="-176" sx="144" sy="-80" r="48" cx="144" cy="-128" />
+ <line x2="64" y1="-64" y2="-192" x1="64" />
+ </blockdef>
+ <block symbolname="or4" name="XLXI_8">
+ <blockpin signalname="XLXN_37" name="I0" />
+ <blockpin signalname="XLXN_41" name="I1" />
+ <blockpin signalname="XLXN_40" name="I2" />
+ <blockpin signalname="XLXN_35" name="I3" />
+ <blockpin signalname="result" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_9">
+ <blockpin signalname="XLXN_44" name="I0" />
+ <blockpin signalname="b3" name="I1" />
+ <blockpin signalname="XLXN_35" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_10">
+ <blockpin signalname="b1" name="I0" />
+ <blockpin signalname="XLXN_44" name="I1" />
+ <blockpin signalname="XLXN_41" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_11">
+ <blockpin signalname="b0" name="I0" />
+ <blockpin signalname="XLXN_44" name="I1" />
+ <blockpin signalname="XLXN_40" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_14">
+ <blockpin signalname="b2" name="I" />
+ <blockpin signalname="XLXN_44" name="O" />
+ </block>
+ <block symbolname="and3" name="XLXI_15">
+ <blockpin signalname="XLXN_47" name="I0" />
+ <blockpin signalname="XLXN_49" name="I1" />
+ <blockpin signalname="b2" name="I2" />
+ <blockpin signalname="XLXN_37" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_16">
+ <blockpin signalname="b1" name="I" />
+ <blockpin signalname="XLXN_49" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_17">
+ <blockpin signalname="b0" name="I" />
+ <blockpin signalname="XLXN_47" name="O" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <branch name="result">
+ <wire x2="2240" y1="960" y2="960" x1="2208" />
+ </branch>
+ <iomarker fontsize="28" x="2240" y="960" name="result" orien="R0" />
+ <instance x="1952" y="1120" name="XLXI_8" orien="R0" />
+ <instance x="1680" y="848" name="XLXI_9" orien="R0" />
+ <branch name="XLXN_35">
+ <wire x2="1952" y1="752" y2="752" x1="1936" />
+ <wire x2="1952" y1="752" y2="864" x1="1952" />
+ </branch>
+ <branch name="XLXN_40">
+ <wire x2="1952" y1="928" y2="928" x1="1920" />
+ </branch>
+ <instance x="1664" y="1024" name="XLXI_11" orien="R0" />
+ <instance x="1376" y="816" name="XLXI_14" orien="R0" />
+ <branch name="XLXN_41">
+ <wire x2="1904" y1="992" y2="1088" x1="1904" />
+ <wire x2="1952" y1="992" y2="992" x1="1904" />
+ </branch>
+ <branch name="XLXN_37">
+ <wire x2="1936" y1="1312" y2="1312" x1="1888" />
+ <wire x2="1952" y1="1056" y2="1056" x1="1936" />
+ <wire x2="1936" y1="1056" y2="1312" x1="1936" />
+ </branch>
+ <instance x="1648" y="1184" name="XLXI_10" orien="R0" />
+ <branch name="b3">
+ <wire x2="1680" y1="720" y2="720" x1="1616" />
+ </branch>
+ <iomarker fontsize="28" x="1616" y="720" name="b3" orien="R180" />
+ <iomarker fontsize="28" x="1312" y="784" name="b2" orien="R180" />
+ <branch name="b2">
+ <wire x2="1360" y1="896" y2="896" x1="1280" />
+ <wire x2="1280" y1="896" y2="1248" x1="1280" />
+ <wire x2="1632" y1="1248" y2="1248" x1="1280" />
+ <wire x2="1360" y1="784" y2="784" x1="1312" />
+ <wire x2="1376" y1="784" y2="784" x1="1360" />
+ <wire x2="1360" y1="784" y2="896" x1="1360" />
+ </branch>
+ <iomarker fontsize="28" x="1408" y="1056" name="b0" orien="R180" />
+ <instance x="1632" y="1440" name="XLXI_15" orien="R0" />
+ <branch name="b0">
+ <wire x2="1312" y1="1008" y2="1376" x1="1312" />
+ <wire x2="1376" y1="1376" y2="1376" x1="1312" />
+ <wire x2="1440" y1="1008" y2="1008" x1="1312" />
+ <wire x2="1440" y1="1008" y2="1056" x1="1440" />
+ <wire x2="1440" y1="1056" y2="1056" x1="1408" />
+ <wire x2="1440" y1="960" y2="1008" x1="1440" />
+ <wire x2="1664" y1="960" y2="960" x1="1440" />
+ </branch>
+ <branch name="XLXN_44">
+ <wire x2="1616" y1="784" y2="784" x1="1600" />
+ <wire x2="1680" y1="784" y2="784" x1="1616" />
+ <wire x2="1616" y1="784" y2="896" x1="1616" />
+ <wire x2="1664" y1="896" y2="896" x1="1616" />
+ <wire x2="1616" y1="896" y2="1056" x1="1616" />
+ <wire x2="1648" y1="1056" y2="1056" x1="1616" />
+ </branch>
+ <branch name="b1">
+ <wire x2="1504" y1="1136" y2="1136" x1="1200" />
+ <wire x2="1568" y1="1136" y2="1136" x1="1504" />
+ <wire x2="1504" y1="1136" y2="1216" x1="1504" />
+ <wire x2="1328" y1="1216" y2="1312" x1="1328" />
+ <wire x2="1376" y1="1312" y2="1312" x1="1328" />
+ <wire x2="1504" y1="1216" y2="1216" x1="1328" />
+ <wire x2="1648" y1="1120" y2="1120" x1="1568" />
+ <wire x2="1568" y1="1120" y2="1136" x1="1568" />
+ </branch>
+ <iomarker fontsize="28" x="1200" y="1136" name="b1" orien="R180" />
+ <branch name="XLXN_47">
+ <wire x2="1632" y1="1376" y2="1376" x1="1600" />
+ </branch>
+ <instance x="1376" y="1408" name="XLXI_17" orien="R0" />
+ <branch name="XLXN_49">
+ <wire x2="1632" y1="1312" y2="1312" x1="1600" />
+ </branch>
+ <instance x="1376" y="1344" name="XLXI_16" orien="R0" />
+ </sheet>
</drawing> \ No newline at end of file