summaryrefslogtreecommitdiff
path: root/Negate_3.sch
diff options
context:
space:
mode:
Diffstat (limited to 'Negate_3.sch')
-rwxr-xr-xNegate_3.sch192
1 files changed, 104 insertions, 88 deletions
diff --git a/Negate_3.sch b/Negate_3.sch
index 7568688..01b6d13 100755
--- a/Negate_3.sch
+++ b/Negate_3.sch
@@ -1,89 +1,105 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<drawing version="7">
- <attr value="spartan6" name="DeviceFamilyName">
- <trait delete="all:0" />
- <trait editname="all:0" />
- <trait edittrait="all:0" />
- </attr>
- <netlist>
- <signal name="XLXN_8" />
- <signal name="result" />
- <signal name="b3" />
- <signal name="b0" />
- <signal name="b1" />
- <signal name="b2" />
- <port polarity="Output" name="result" />
- <port polarity="Input" name="b3" />
- <port polarity="Input" name="b0" />
- <port polarity="Input" name="b1" />
- <port polarity="Input" name="b2" />
- <blockdef name="nand2">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="64" y1="-64" y2="-64" x1="0" />
- <line x2="64" y1="-128" y2="-128" x1="0" />
- <line x2="216" y1="-96" y2="-96" x1="256" />
- <circle r="12" cx="204" cy="-96" />
- <line x2="64" y1="-48" y2="-144" x1="64" />
- <line x2="144" y1="-144" y2="-144" x1="64" />
- <line x2="64" y1="-48" y2="-48" x1="144" />
- <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
- </blockdef>
- <blockdef name="nor3">
- <timestamp>2000-1-1T10:10:10</timestamp>
- <line x2="48" y1="-64" y2="-64" x1="0" />
- <line x2="72" y1="-128" y2="-128" x1="0" />
- <line x2="48" y1="-192" y2="-192" x1="0" />
- <line x2="216" y1="-128" y2="-128" x1="256" />
- <circle r="12" cx="204" cy="-128" />
- <line x2="48" y1="-64" y2="-80" x1="48" />
- <line x2="48" y1="-192" y2="-176" x1="48" />
- <line x2="48" y1="-80" y2="-80" x1="112" />
- <line x2="48" y1="-176" y2="-176" x1="112" />
- <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
- <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
- <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
- </blockdef>
- <block symbolname="nand2" name="XLXI_9">
- <blockpin signalname="XLXN_8" name="I0" />
- <blockpin signalname="b3" name="I1" />
- <blockpin signalname="result" name="O" />
- </block>
- <block symbolname="nor3" name="XLXI_13">
- <blockpin signalname="b2" name="I0" />
- <blockpin signalname="b1" name="I1" />
- <blockpin signalname="b0" name="I2" />
- <blockpin signalname="XLXN_8" name="O" />
- </block>
- </netlist>
- <sheet sheetnum="1" width="3520" height="2720">
- <branch name="result">
- <wire x2="2240" y1="848" y2="848" x1="2224" />
- </branch>
- <iomarker fontsize="28" x="2240" y="848" name="result" orien="R0" />
- <iomarker fontsize="28" x="1680" y="816" name="b3" orien="R180" />
- <instance x="1968" y="944" name="XLXI_9" orien="R0" />
- <branch name="b3">
- <wire x2="1968" y1="816" y2="816" x1="1680" />
- </branch>
- <branch name="XLXN_8">
- <wire x2="1968" y1="880" y2="880" x1="1904" />
- <wire x2="1904" y1="880" y2="896" x1="1904" />
- <wire x2="1952" y1="896" y2="896" x1="1904" />
- <wire x2="1952" y1="896" y2="976" x1="1952" />
- <wire x2="1952" y1="976" y2="976" x1="1920" />
- </branch>
- <instance x="1664" y="1104" name="XLXI_13" orien="R0" />
- <branch name="b0">
- <wire x2="1664" y1="912" y2="912" x1="1632" />
- </branch>
- <branch name="b1">
- <wire x2="1664" y1="976" y2="976" x1="1632" />
- </branch>
- <branch name="b2">
- <wire x2="1664" y1="1040" y2="1040" x1="1632" />
- </branch>
- <iomarker fontsize="28" x="1632" y="912" name="b0" orien="R180" />
- <iomarker fontsize="28" x="1632" y="976" name="b1" orien="R180" />
- <iomarker fontsize="28" x="1632" y="1040" name="b2" orien="R180" />
- </sheet>
+<?xml version="1.0" encoding="UTF-8"?>
+<drawing version="7">
+ <attr value="spartan6" name="DeviceFamilyName">
+ <trait delete="all:0" />
+ <trait editname="all:0" />
+ <trait edittrait="all:0" />
+ </attr>
+ <netlist>
+ <signal name="XLXN_8" />
+ <signal name="result" />
+ <signal name="b3" />
+ <signal name="b0" />
+ <signal name="b1" />
+ <signal name="b2" />
+ <signal name="XLXN_10" />
+ <port polarity="Output" name="result" />
+ <port polarity="Input" name="b3" />
+ <port polarity="Input" name="b0" />
+ <port polarity="Input" name="b1" />
+ <port polarity="Input" name="b2" />
+ <blockdef name="or3">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="48" y1="-64" y2="-64" x1="0" />
+ <line x2="72" y1="-128" y2="-128" x1="0" />
+ <line x2="48" y1="-192" y2="-192" x1="0" />
+ <line x2="192" y1="-128" y2="-128" x1="256" />
+ <arc ex="192" ey="-128" sx="112" sy="-80" r="88" cx="116" cy="-168" />
+ <arc ex="48" ey="-176" sx="48" sy="-80" r="56" cx="16" cy="-128" />
+ <line x2="48" y1="-64" y2="-80" x1="48" />
+ <line x2="48" y1="-192" y2="-176" x1="48" />
+ <line x2="48" y1="-80" y2="-80" x1="112" />
+ <arc ex="112" ey="-176" sx="192" sy="-128" r="88" cx="116" cy="-88" />
+ <line x2="48" y1="-176" y2="-176" x1="112" />
+ </blockdef>
+ <blockdef name="inv">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-32" y2="-32" x1="0" />
+ <line x2="160" y1="-32" y2="-32" x1="224" />
+ <line x2="128" y1="-64" y2="-32" x1="64" />
+ <line x2="64" y1="-32" y2="0" x1="128" />
+ <line x2="64" y1="0" y2="-64" x1="64" />
+ <circle r="16" cx="144" cy="-32" />
+ </blockdef>
+ <blockdef name="and2">
+ <timestamp>2000-1-1T10:10:10</timestamp>
+ <line x2="64" y1="-64" y2="-64" x1="0" />
+ <line x2="64" y1="-128" y2="-128" x1="0" />
+ <line x2="192" y1="-96" y2="-96" x1="256" />
+ <arc ex="144" ey="-144" sx="144" sy="-48" r="48" cx="144" cy="-96" />
+ <line x2="64" y1="-48" y2="-48" x1="144" />
+ <line x2="144" y1="-144" y2="-144" x1="64" />
+ <line x2="64" y1="-48" y2="-144" x1="64" />
+ </blockdef>
+ <block symbolname="or3" name="XLXI_14">
+ <blockpin signalname="b2" name="I0" />
+ <blockpin signalname="b1" name="I1" />
+ <blockpin signalname="b0" name="I2" />
+ <blockpin signalname="XLXN_8" name="O" />
+ </block>
+ <block symbolname="inv" name="XLXI_15">
+ <blockpin signalname="b3" name="I" />
+ <blockpin signalname="XLXN_10" name="O" />
+ </block>
+ <block symbolname="and2" name="XLXI_16">
+ <blockpin signalname="XLXN_8" name="I0" />
+ <blockpin signalname="XLXN_10" name="I1" />
+ <blockpin signalname="result" name="O" />
+ </block>
+ </netlist>
+ <sheet sheetnum="1" width="3520" height="2720">
+ <iomarker fontsize="28" x="1680" y="816" name="b3" orien="R180" />
+ <branch name="b3">
+ <wire x2="1808" y1="816" y2="816" x1="1680" />
+ </branch>
+ <branch name="XLXN_8">
+ <wire x2="1904" y1="880" y2="896" x1="1904" />
+ <wire x2="1952" y1="896" y2="896" x1="1904" />
+ <wire x2="1952" y1="896" y2="976" x1="1952" />
+ <wire x2="2064" y1="880" y2="880" x1="1904" />
+ <wire x2="1952" y1="976" y2="976" x1="1920" />
+ </branch>
+ <branch name="b0">
+ <wire x2="1664" y1="912" y2="912" x1="1632" />
+ </branch>
+ <branch name="b1">
+ <wire x2="1664" y1="976" y2="976" x1="1632" />
+ </branch>
+ <branch name="b2">
+ <wire x2="1664" y1="1040" y2="1040" x1="1632" />
+ </branch>
+ <iomarker fontsize="28" x="1632" y="912" name="b0" orien="R180" />
+ <iomarker fontsize="28" x="1632" y="976" name="b1" orien="R180" />
+ <iomarker fontsize="28" x="1632" y="1040" name="b2" orien="R180" />
+ <instance x="1664" y="1104" name="XLXI_14" orien="R0" />
+ <instance x="1808" y="848" name="XLXI_15" orien="R0" />
+ <branch name="result">
+ <wire x2="2400" y1="848" y2="848" x1="2320" />
+ </branch>
+ <branch name="XLXN_10">
+ <wire x2="2064" y1="816" y2="816" x1="2032" />
+ </branch>
+ <instance x="2064" y="944" name="XLXI_16" orien="R0" />
+ <iomarker fontsize="28" x="2400" y="848" name="result" orien="R0" />
+ </sheet>
</drawing> \ No newline at end of file