summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorMichael Abed <michaelabed@gmail.com>2012-02-17 12:10:31 -0500
committerMichael Abed <michaelabed@gmail.com>2012-02-17 12:10:31 -0500
commit59d89428d6160fb672c2b6a41339505cc69344d0 (patch)
treea774e809a31fc7eae7b0fd0777714c86ffedc9d6
parent0bdf2f0b18f7e2986336f8afc67fe18b8b382e7a (diff)
downloadec311-lab2-59d89428d6160fb672c2b6a41339505cc69344d0.tar.gz
ec311-lab2-59d89428d6160fb672c2b6a41339505cc69344d0.tar.bz2
ec311-lab2-59d89428d6160fb672c2b6a41339505cc69344d0.zip
finish itHEADmaster
-rwxr-xr-xALU.cmd_log22
-rwxr-xr-xALU.spl18
-rwxr-xr-x[-rw-r--r--]ALU.sym44
-rwxr-xr-xALU.v8
-rwxr-xr-xALUSHOW.bld36
-rwxr-xr-xALUSHOW.cmd_log68
-rwxr-xr-xALUSHOW.jhd10
-rwxr-xr-xALUSHOW.ncd3
-rwxr-xr-xALUSHOW.ngc3
-rwxr-xr-xALUSHOW.ngd3
-rwxr-xr-xALUSHOW.ngr3
-rwxr-xr-xALUSHOW.pad354
-rwxr-xr-xALUSHOW.par148
-rwxr-xr-xALUSHOW.pcf25
-rwxr-xr-xALUSHOW.ptwx332
-rwxr-xr-xALUSHOW.sch380
-rwxr-xr-xALUSHOW.schlog1
-rwxr-xr-xALUSHOW.stx0
-rwxr-xr-xALUSHOW.syr512
-rwxr-xr-xALUSHOW.twr99
-rwxr-xr-xALUSHOW.twx339
-rwxr-xr-xALUSHOW.ucf21
-rwxr-xr-xALUSHOW.unroutes9
-rwxr-xr-xALUSHOW.ut32
-rwxr-xr-x[-rw-r--r--]ALUSHOW.vf576
-rwxr-xr-xALUSHOW.xpi3
-rwxr-xr-xALUSHOW_bitgen.xwbt8
-rw-r--r--ALUSHOW_envsettings.html234
-rwxr-xr-xALUSHOW_guide.ncd3
-rwxr-xr-xALUSHOW_map.map138
-rwxr-xr-xALUSHOW_map.mrp206
-rwxr-xr-xALUSHOW_map.ncd3
-rwxr-xr-xALUSHOW_map.ngm3
-rwxr-xr-xALUSHOW_map.xrpt410
-rwxr-xr-xALUSHOW_ngdbuild.xrpt126
-rwxr-xr-xALUSHOW_pad.csv355
-rwxr-xr-xALUSHOW_pad.txt354
-rwxr-xr-xALUSHOW_par.xrpt2423
-rw-r--r--ALUSHOW_summary.html295
-rwxr-xr-xALUSHOW_summary.xml10
-rwxr-xr-xALUSHOW_usage.xml442
-rwxr-xr-xALUSHOW_xst.xrpt100
-rwxr-xr-x_ngo/netlist.lst2
-rwxr-xr-x_xmsgs/bitgen.xmsgs9
-rwxr-xr-x_xmsgs/map.xmsgs27
-rwxr-xr-x_xmsgs/ngdbuild.xmsgs9
-rwxr-xr-x_xmsgs/par.xmsgs15
-rwxr-xr-x_xmsgs/pn_parser.xmsgs24
-rwxr-xr-x_xmsgs/trce.xmsgs15
-rwxr-xr-x_xmsgs/xst.xmsgs7
-rwxr-xr-xalushow.bgn133
-rwxr-xr-xalushow.bitbin0 -> 464289 bytes
-rwxr-xr-xalushow.drc8
-rwxr-xr-xalushow.msd231961
-rwxr-xr-xalushow.rbbbin0 -> 464121 bytes
-rwxr-xr-xalushow.rbd231961
-rw-r--r--iseconfig/ALUSHOW.xreport8
-rwxr-xr-xiseconfig/lab2.projectmgr214
-rwxr-xr-xlab2.gise126
-rwxr-xr-xlab2.xise11
-rwxr-xr-xpa.fromHdl.tcl38
-rwxr-xr-xpar_usage_statistics.html32
-rwxr-xr-x[-rw-r--r--]planAhead_run_1/planAhead.jou72
-rwxr-xr-x[-rw-r--r--]planAhead_run_1/planAhead.log179
-rwxr-xr-x[-rw-r--r--]planAhead_run_1/planAhead_run.log215
-rwxr-xr-xplanAhead_run_2/lab2.data/constrs_1/designprops.xml29
-rwxr-xr-x[-rw-r--r--]planAhead_run_2/lab2.data/constrs_1/fileset.xml70
-rwxr-xr-xplanAhead_run_2/lab2.data/constrs_1/usercols.xml4
-rwxr-xr-x[-rw-r--r--]planAhead_run_2/lab2.data/sources_1/fileset.xml112
-rwxr-xr-xplanAhead_run_2/lab2.data/sources_1/ports.xml28
-rwxr-xr-x[-rw-r--r--]planAhead_run_2/lab2.ppr26
-rwxr-xr-xplanAhead_run_2/planAhead.jou12
-rwxr-xr-xplanAhead_run_2/planAhead.log22
-rwxr-xr-xplanAhead_run_2/planAhead_run.log17
-rwxr-xr-x[-rw-r--r--]sch2HdlBatchFile3
-rwxr-xr-x[-rw-r--r--]sev_seg_disp.vf472
-rwxr-xr-xusage_statistics_webtalk.html775
-rwxr-xr-xwebtalk.log16
-rwxr-xr-xwebtalk_pn.xml10
-rwxr-xr-xxlnx_auto_0_xdb/cst.xbcdbin0 -> 1446 bytes
-rwxr-xr-xxst/work/work.sdblbin0 -> 34310 bytes
-rwxr-xr-xxst/work/work.sdbxbin0 -> 134 bytes
82 files changed, 473645 insertions, 1176 deletions
diff --git a/ALU.cmd_log b/ALU.cmd_log
index 044741b..12e59ad 100755
--- a/ALU.cmd_log
+++ b/ALU.cmd_log
@@ -8,3 +8,25 @@ vhdtdtfi -lib work /home/michael/Documents/School/EC311/lab2/ALU.v -lang verilog
spl2sym -intstyle ise -family spartan6 -w ALU.spl /home/michael/Documents/School/EC311/lab2/ALU.sym
vhdtdtfi -lib work /home/michael/Documents/School/EC311/lab2/ALU.v -lang verilog -prj lab2 -o ALU.spl -module ALU -template /home/michael/opt/Xilinx/13.4/ISE_DS/ISE//data/splveri.tft -deleteonerror
spl2sym -intstyle ise -family spartan6 -w ALU.spl /home/michael/Documents/School/EC311/lab2/ALU.sym
+vhdtdtfi -lib work {X:/My Documents/ec311/ec311-lab2/ALU.v} -lang verilog -prj lab2 -o ALU.spl -module ALU -template C:/Xilinx/13.3/ISE_DS/ISE//data/splveri.tft -deleteonerror
+spl2sym -intstyle ise -family spartan6 -w ALU.spl {X:/My Documents/ec311/ec311-lab2/ALU.sym}
+vhdtdtfi -lib work {X:/My Documents/ec311/ec311-lab2/ALU.v} -lang verilog -prj lab2 -o ALU.spl -module ALU -template C:/Xilinx/13.3/ISE_DS/ISE//data/splveri.tft -deleteonerror
+spl2sym -intstyle ise -family spartan6 -w ALU.spl {X:/My Documents/ec311/ec311-lab2/ALU.sym}
+vhdtdtfi -lib work {X:/My Documents/ec311/ec311-lab2/ALU.v} -lang verilog -prj lab2 -o ALU.spl -module ALU -template C:/Xilinx/13.3/ISE_DS/ISE//data/splveri.tft -deleteonerror
+spl2sym -intstyle ise -family spartan6 -w ALU.spl {X:/My Documents/ec311/ec311-lab2/ALU.sym}
+vhdtdtfi -lib work {X:/My Documents/ec311/ec311-lab2/ALU.v} -lang verilog -prj lab2 -o ALU.spl -module ALU -template C:/Xilinx/13.3/ISE_DS/ISE//data/splveri.tft -deleteonerror
+spl2sym -intstyle ise -family spartan6 -w ALU.spl {X:/My Documents/ec311/ec311-lab2/ALU.sym}
+vhdtdtfi -lib work {X:/My Documents/ec311/ec311-lab2/ALU.v} -lang verilog -prj lab2 -o ALU.spl -module ALU -template C:/Xilinx/13.3/ISE_DS/ISE//data/splveri.tft -deleteonerror
+spl2sym -intstyle ise -family spartan6 -w ALU.spl {X:/My Documents/ec311/ec311-lab2/ALU.sym}
+vhdtdtfi -lib work {X:/My Documents/ec311/ec311-lab2/ALU.v} -lang verilog -prj lab2 -o ALU.spl -module ALU -template C:/Xilinx/13.3/ISE_DS/ISE//data/splveri.tft -deleteonerror
+spl2sym -intstyle ise -family spartan6 -w ALU.spl {X:/My Documents/ec311/ec311-lab2/ALU.sym}
+vhdtdtfi -lib work {X:/My Documents/ec311/ec311-lab2/ALU.v} -lang verilog -prj lab2 -o ALU.spl -module ALU -template C:/Xilinx/13.3/ISE_DS/ISE//data/splveri.tft -deleteonerror
+spl2sym -intstyle ise -family spartan6 -w ALU.spl {X:/My Documents/ec311/ec311-lab2/ALU.sym}
+vhdtdtfi -lib work {X:/My Documents/ec311/ec311-lab2/ALU.v} -lang verilog -prj lab2 -o ALU.spl -module ALU -template C:/Xilinx/13.3/ISE_DS/ISE//data/splveri.tft -deleteonerror
+spl2sym -intstyle ise -family spartan6 -w ALU.spl {X:/My Documents/ec311/ec311-lab2/ALU.sym}
+vhdtdtfi -lib work {X:/My Documents/ec311/ec311-lab2/ALU.v} -lang verilog -prj lab2 -o ALU.spl -module ALU -template C:/Xilinx/13.3/ISE_DS/ISE//data/splveri.tft -deleteonerror
+spl2sym -intstyle ise -family spartan6 -w ALU.spl {X:/My Documents/ec311/ec311-lab2/ALU.sym}
+vhdtdtfi -lib work {X:/My Documents/ec311/ec311-lab2/ALU.v} -lang verilog -prj lab2 -o ALU.spl -module ALU -template C:/Xilinx/13.3/ISE_DS/ISE//data/splveri.tft -deleteonerror
+spl2sym -intstyle ise -family spartan6 -w ALU.spl {X:/My Documents/ec311/ec311-lab2/ALU.sym}
+vhdtdtfi -lib work {X:/My Documents/ec311/ec311-lab2/ALU.v} -lang verilog -prj lab2 -o ALU.spl -module ALU -template C:/Xilinx/13.3/ISE_DS/ISE//data/splveri.tft -deleteonerror
+spl2sym -intstyle ise -family spartan6 -w ALU.spl {X:/My Documents/ec311/ec311-lab2/ALU.sym}
diff --git a/ALU.spl b/ALU.spl
index f351b27..dd74559 100755
--- a/ALU.spl
+++ b/ALU.spl
@@ -1,9 +1,9 @@
-[Inputs]
-=s[1:0]=
-=a[3:0]=
-[Outputs]
-=o[3:0]=
-[BiDir]
-[ATTRIBUTES]
-VeriModel ALU
-
+[Inputs]
+=s[1:0]=
+=a[3:0]=
+[Outputs]
+=o[3:0]=
+[BiDir]
+[ATTRIBUTES]
+VeriModel ALU
+
diff --git a/ALU.sym b/ALU.sym
index 47dcf06..4a0331b 100644..100755
--- a/ALU.sym
+++ b/ALU.sym
@@ -1,22 +1,22 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<symbol version="7" name="ALU">
- <symboltype>BLOCK</symboltype>
- <timestamp>2012-2-16T2:38:45</timestamp>
- <attr value="ALU" name="VeriModel" />
- <pin polarity="Input" x="0" y="416" name="s(1:0)" />
- <pin polarity="Input" x="0" y="480" name="a(3:0)" />
- <pin polarity="Output" x="384" y="416" name="o(3:0)" />
- <graph>
- <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-136" type="symbol" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="416" type="pin s(1:0)" />
- <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="480" type="pin a(3:0)" />
- <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="416" type="pin o(3:0)" />
- <rect width="64" x="0" y="404" height="24" />
- <line x2="0" y1="416" y2="416" x1="64" />
- <rect width="64" x="0" y="468" height="24" />
- <line x2="0" y1="480" y2="480" x1="64" />
- <rect width="64" x="320" y="404" height="24" />
- <line x2="384" y1="416" y2="416" x1="320" />
- <rect width="256" x="64" y="-128" height="640" />
- </graph>
-</symbol>
+<?xml version="1.0" encoding="UTF-8"?>
+<symbol version="7" name="ALU">
+ <symboltype>BLOCK</symboltype>
+ <timestamp>2012-2-17T2:14:34</timestamp>
+ <attr value="ALU" name="VeriModel" />
+ <pin polarity="Input" x="0" y="416" name="s(1:0)" />
+ <pin polarity="Input" x="0" y="480" name="a(3:0)" />
+ <pin polarity="Output" x="384" y="416" name="o(3:0)" />
+ <graph>
+ <attrtext style="alignment:BCENTER;fontsize:56;fontname:Arial" attrname="SymbolName" x="192" y="-136" type="symbol" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="416" type="pin s(1:0)" />
+ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="72" y="480" type="pin a(3:0)" />
+ <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="312" y="416" type="pin o(3:0)" />
+ <rect width="64" x="0" y="404" height="24" />
+ <line x2="0" y1="416" y2="416" x1="64" />
+ <rect width="64" x="0" y="468" height="24" />
+ <line x2="0" y1="480" y2="480" x1="64" />
+ <rect width="64" x="320" y="404" height="24" />
+ <line x2="384" y1="416" y2="416" x1="320" />
+ <rect width="256" x="64" y="-128" height="640" />
+ </graph>
+</symbol>
diff --git a/ALU.v b/ALU.v
index 86c0372..af9b1a8 100755
--- a/ALU.v
+++ b/ALU.v
@@ -29,10 +29,10 @@ reg [3:0] o;
always @ ( * )
begin
case ( s )
- 2'd0 : o = a;
- 2'd1 : o = ~a+1;
- 2'd2 : o = a >> 1;
- 2'd3 : o = a % 3;
+ 2'b00 : o = a;
+ 2'b01 : o = (a != 4'b1000 ) ? (~a)+1 : 4'b0111;
+ 2'b10 : o = (a[3] != 1'b1 ) ? a >> 1 : ~(((~a)+4'b0001) >> 1)+4'b0001;
+ 2'b11 : o = (a[3] != 1'b1 ) ? a % 3 : ~(((~a)+4'b0001) % 3)+1;
endcase
end
endmodule
diff --git a/ALUSHOW.bld b/ALUSHOW.bld
new file mode 100755
index 0000000..2e77355
--- /dev/null
+++ b/ALUSHOW.bld
@@ -0,0 +1,36 @@
+Release 13.3 ngdbuild O.76xd (nt64)
+Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
+
+Command Line: C:\Xilinx\13.3\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe
+-intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3
+ALUSHOW.ngc ALUSHOW.ngd
+
+Reading NGO file "X:/My Documents/ec311/ec311-lab2/ALUSHOW.ngc" ...
+Gathering constraint information from source properties...
+Done.
+
+Annotating constraints to design from ucf file "ALUSHOW.ucf" ...
+Resolving constraint associations...
+Checking Constraint Associations...
+Done...
+
+Checking expanded design ...
+
+Partition Implementation Status
+-------------------------------
+
+ No Partitions were found in this design.
+
+-------------------------------
+
+NGDBUILD Design Results Summary:
+ Number of errors: 0
+ Number of warnings: 0
+
+Total memory usage is 159008 kilobytes
+
+Writing NGD file "ALUSHOW.ngd" ...
+Total REAL time to NGDBUILD completion: 5 sec
+Total CPU time to NGDBUILD completion: 4 sec
+
+Writing NGDBUILD log file "ALUSHOW.bld"...
diff --git a/ALUSHOW.cmd_log b/ALUSHOW.cmd_log
index bf0d338..a5a7ca7 100755
--- a/ALUSHOW.cmd_log
+++ b/ALUSHOW.cmd_log
@@ -1 +1,69 @@
xst -intstyle ise -ifn "X:/My Documents/ec311/lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/lab2/ALUSHOW.syr"
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3 "ALUSHOW.ngc" ALUSHOW.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALUSHOW_map.ncd ALUSHOW.ngd ALUSHOW.pcf
+par -w -intstyle ise -ol high -mt off ALUSHOW_map.ncd ALUSHOW.ncd ALUSHOW.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALUSHOW.twx ALUSHOW.ncd -o ALUSHOW.twr ALUSHOW.pcf -ucf ALUSHOW.ucf
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3 "ALUSHOW.ngc" ALUSHOW.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALUSHOW_map.ncd ALUSHOW.ngd ALUSHOW.pcf
+par -w -intstyle ise -ol high -mt off ALUSHOW_map.ncd ALUSHOW.ncd ALUSHOW.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALUSHOW.twx ALUSHOW.ncd -o ALUSHOW.twr ALUSHOW.pcf -ucf ALUSHOW.ucf
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3 "ALUSHOW.ngc" ALUSHOW.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALUSHOW_map.ncd ALUSHOW.ngd ALUSHOW.pcf
+par -w -intstyle ise -ol high -mt off ALUSHOW_map.ncd ALUSHOW.ncd ALUSHOW.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALUSHOW.twx ALUSHOW.ncd -o ALUSHOW.twr ALUSHOW.pcf -ucf ALUSHOW.ucf
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3 "ALUSHOW.ngc" ALUSHOW.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALUSHOW_map.ncd ALUSHOW.ngd ALUSHOW.pcf
+par -w -intstyle ise -ol high -mt off ALUSHOW_map.ncd ALUSHOW.ncd ALUSHOW.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALUSHOW.twx ALUSHOW.ncd -o ALUSHOW.twr ALUSHOW.pcf -ucf ALUSHOW.ucf
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3 "ALUSHOW.ngc" ALUSHOW.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALUSHOW_map.ncd ALUSHOW.ngd ALUSHOW.pcf
+par -w -intstyle ise -ol high -mt off ALUSHOW_map.ncd ALUSHOW.ncd ALUSHOW.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALUSHOW.twx ALUSHOW.ncd -o ALUSHOW.twr ALUSHOW.pcf -ucf ALUSHOW.ucf
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3 "ALUSHOW.ngc" ALUSHOW.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALUSHOW_map.ncd ALUSHOW.ngd ALUSHOW.pcf
+par -w -intstyle ise -ol high -mt off ALUSHOW_map.ncd ALUSHOW.ncd ALUSHOW.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALUSHOW.twx ALUSHOW.ncd -o ALUSHOW.twr ALUSHOW.pcf -ucf ALUSHOW.ucf
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3 "ALUSHOW.ngc" ALUSHOW.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALUSHOW_map.ncd ALUSHOW.ngd ALUSHOW.pcf
+par -w -intstyle ise -ol high -mt off ALUSHOW_map.ncd ALUSHOW.ncd ALUSHOW.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALUSHOW.twx ALUSHOW.ncd -o ALUSHOW.twr ALUSHOW.pcf -ucf ALUSHOW.ucf
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3 "ALUSHOW.ngc" ALUSHOW.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALUSHOW_map.ncd ALUSHOW.ngd ALUSHOW.pcf
+par -w -intstyle ise -ol high -mt off ALUSHOW_map.ncd ALUSHOW.ncd ALUSHOW.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALUSHOW.twx ALUSHOW.ncd -o ALUSHOW.twr ALUSHOW.pcf -ucf ALUSHOW.ucf
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3 "ALUSHOW.ngc" ALUSHOW.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALUSHOW_map.ncd ALUSHOW.ngd ALUSHOW.pcf
+par -w -intstyle ise -ol high -mt off ALUSHOW_map.ncd ALUSHOW.ncd ALUSHOW.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALUSHOW.twx ALUSHOW.ncd -o ALUSHOW.twr ALUSHOW.pcf -ucf ALUSHOW.ucf
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3 "ALUSHOW.ngc" ALUSHOW.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALUSHOW_map.ncd ALUSHOW.ngd ALUSHOW.pcf
+par -w -intstyle ise -ol high -mt off ALUSHOW_map.ncd ALUSHOW.ncd ALUSHOW.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALUSHOW.twx ALUSHOW.ncd -o ALUSHOW.twr ALUSHOW.pcf -ucf ALUSHOW.ucf
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
+xst -intstyle ise -ifn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.xst" -ofn "X:/My Documents/ec311/ec311-lab2/ALUSHOW.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc ALUSHOW.ucf -p xc6slx16-csg324-3 "ALUSHOW.ngc" ALUSHOW.ngd
+map -intstyle ise -p xc6slx16-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o ALUSHOW_map.ncd ALUSHOW.ngd ALUSHOW.pcf
+par -w -intstyle ise -ol high -mt off ALUSHOW_map.ncd ALUSHOW.ncd ALUSHOW.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml ALUSHOW.twx ALUSHOW.ncd -o ALUSHOW.twr ALUSHOW.pcf -ucf ALUSHOW.ucf
+bitgen -intstyle ise -f ALUSHOW.ut ALUSHOW.ncd
diff --git a/ALUSHOW.jhd b/ALUSHOW.jhd
index 5a366ed..e6c57b1 100755
--- a/ALUSHOW.jhd
+++ b/ALUSHOW.jhd
@@ -1,5 +1,5 @@
-MODULE ALUSHOW
- SUBMODULE sev_seg_disp
- INSTANCE XLXI_2
- SUBMODULE ALU
- INSTANCE XLXI_4
+MODULE ALUSHOW
+ SUBMODULE sev_seg_disp
+ INSTANCE XLXI_2
+ SUBMODULE ALU
+ INSTANCE XLXI_4
diff --git a/ALUSHOW.ncd b/ALUSHOW.ncd
new file mode 100755
index 0000000..497718d
--- /dev/null
+++ b/ALUSHOW.ncd
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6
+###3672:XlxV32DM 3ff9 e40eNq9Wdtu20oS/BXi4LzK4XTPNQwC6OIkwipW4EtiY7EgZPkSYe3IsH1OEuzP71DXbrH2baUHUVNNcqpqOGxV4j8Np/AfU1Z/nt7+PXuZzX+8LcyRLf6kqsPXVef+YX49eajnT6+p6sx+vL68/n64nd5ux8Xs5bboPBW/pv7l4ZfxnenLPZPtcNH5WXQe5vezaXN3Mb+7Kzrzh+L77P570XktTNH59VqURef59n728nr7XN/89fQwm05es4bl1c+FLYSAZfFx9T17Xn4/rb4fpis8/3m7Ks2L7uji7NP4W/04eTr6Mb1Z46Mf99vx0/Su4OznOR8eppNqqzkXHpvD/MFXy3kzeHo2roKi84q92nx/tq0XZ+N6pf1/qppqVaF686U7MGVaDUy5Hpj1gNYDXg/seuDWA78ehPUgrgfrmWk9s1vf7tan/JrCryn8+poQr6s3Z6Nh/7i+LK+sBE4CL0GQQE2QbjbAlFem1NBoSFMJNWKFrEJOIa9QUCgqJMUZLS5DM5WQFbIKOYW8QkGhqFASiK5KheTSkNZGWhuplSKllJRSUkpJKSWllJRSUivFWg1rNazUsFLDSg0rNazUsFLDSg0rNVarsVqNVfxW8VvFbxW/VfxW8VvF7zS/0/xO8TvF7xS/U/xO8TvF7xS/1/xe83v1NLxS45Uar9R4pcarnRrUfUHdF668EBO1tqi1RaUmqlmjmjUqNVGtTVRrE9XaJM2fNH9SHElxJMWRFEe6StsOZ2SLNLJFGtkijWyRuQ1sVVHTFacSkkKskFXIKeQVigqJVSGjCY0iVI2OVKMj1ehItTZSrS0jSUiaUPUrUv2KVL8i1a9I9aSMxJ4k1XVIdR1SXYdUnyHVWUh1FtKdpYFGQ0lprzRihaxCTiGvUFAoKiTF6T5DqrNkFMSlukM00GhIGkrpqkeQ6goZBYWiQlJs0JRBL11Q8wR1p+4gDTQakoZSu+oopDoKqY5CqqOQ6iikOgrpjtJAo6E0lpQA1WBINRhSDSYjubX1y6Mfu+bL9q8FIgnUGSuBk8BLECSIEoglYZ3qWKc61qmOVQdj1bNYZTVWHYxVB2OjOYzmUI2JVWNi1ZhYry2r5sOq+bAKS6zCEqvGxKoxsWo+GYmfDpZPiOUTYvmEWD4hlk+I5RNi+YRYarDKpQ5IuotZtYOs1Gev1BkrgZPASxAkiBKIdXByaiendnJqJ6d2cmonp3bSulfWm853LRBLYCVwEngJggRRAkGbG1x5LRBLYCVwEngJggRRAkEUlT+dr5rmeC0QS2AlcBJ4CYIEUQIhIikRSS1ykrRJ0iZJmyRtkrS5M5qqNJXhyhyVtxW9HZ58uTh/e/bOvC3fc8VHpjnQbWVXZ7rvOJ8xVTcn0W4xnTw//y6m3yezH8XL6+T5dfbjvvg5e/2+OvP416/ij8vR5bC2bz6eDGrj6nn95dvpcvA4v6mNffN5cnNTT/7J5l/14pqQTzUlcvX44rye/n5H5fs/DsAX1nwmHYQvbfjiIfjYbPjCQfh4w+cPwrfZL8YdhG+7X+xB+Lb7hQ/BZ7f7hQ7Ct90v5iB82/3S9Jfp3vk2+yUdhG6zXeIh6Nxmt4SD0G02iz8I3WavuIPQbbaKPQjdZqvwIej8ZqvQQei2fSXTxf8b3XT++DR5rnsXZ