summaryrefslogtreecommitdiff
path: root/isim/TEST_Countdown_isim_beh.exe.sim/work
diff options
context:
space:
mode:
Diffstat (limited to 'isim/TEST_Countdown_isim_beh.exe.sim/work')
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.c36
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.lin64.obin0 -> 2432 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.c329
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didatbin0 -> 3364 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.lin64.obin0 -> 5496 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c279
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didatbin0 -> 3080 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.lin64.obin0 -> 5264 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin0 -> 5556 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin0 -> 5216 bytes
11 files changed, 981 insertions, 0 deletions
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.c b/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.c
new file mode 100644
index 0000000..e25a621
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.c
@@ -0,0 +1,36 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+#include "xsi.h"
+
+struct XSI_INFO xsi_info;
+
+
+
+int main(int argc, char **argv)
+{
+ xsi_init_design(argc, argv);
+ xsi_register_info(&xsi_info);
+
+ xsi_register_min_prec_unit(-12);
+ work_m_06453055231304268951_4281377536_init();
+ work_m_01236816096418509971_3448823162_init();
+ work_m_16541823861846354283_2073120511_init();
+
+
+ xsi_register_tops("work_m_01236816096418509971_3448823162");
+ xsi_register_tops("work_m_16541823861846354283_2073120511");
+
+
+ return xsi_run_simulation(argc, argv);
+
+}
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.lin64.o b/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.lin64.o
new file mode 100644
index 0000000..4fde3cb
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.lin64.o
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.c b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.c
new file mode 100644
index 0000000..b813dd5
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.c
@@ -0,0 +1,329 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab4/TEST_Countdown.v";
+static int ng1[] = {0, 0};
+static int ng2[] = {218, 0};
+static int ng3[] = {255, 0};
+static int ng4[] = {10, 0};
+static int ng5[] = {1, 0};
+
+
+
+static void Initial_46_0(char *t0)
+{
+ char t6[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t7;
+ char *t8;
+ char *t9;
+ char *t10;
+ unsigned int t11;
+ unsigned int t12;
+ unsigned int t13;
+ unsigned int t14;
+ unsigned int t15;
+ char *t16;
+ unsigned int t17;
+ unsigned int t18;
+ unsigned int t19;
+ unsigned int t20;
+ unsigned int t21;
+ unsigned int t22;
+ unsigned int t23;
+ unsigned int t24;
+ unsigned int t25;
+ unsigned int t26;
+ unsigned int t27;
+ unsigned int t28;
+ char *t29;
+
+LAB0: t1 = (t0 + 3000U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(46, ng0);
+
+LAB4: xsi_set_current_line(48, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(49, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1608);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(50, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(51, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 8);
+ xsi_set_current_line(54, ng0);
+ t2 = (t0 + 2808);
+ xsi_process_wait(t2, 50000LL);
+ *((char **)t1) = &&LAB5;
+
+LAB1: return;
+LAB5: xsi_set_current_line(58, ng0);
+ t2 = ((char*)((ng2)));
+ t3 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 8);
+ xsi_set_current_line(58, ng0);
+ t2 = (t0 + 2808);
+ xsi_process_wait(t2, 50000LL);
+ *((char **)t1) = &&LAB6;
+ goto LAB1;
+
+LAB6: xsi_set_current_line(60, ng0);
+ xsi_set_current_line(60, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 2088);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 8);
+
+LAB7: t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng3)));
+ memset(t6, 0, 8);
+ t7 = (t4 + 4);
+ if (*((unsigned int *)t7) != 0)
+ goto LAB9;
+
+LAB8: t8 = (t5 + 4);
+ if (*((unsigned int *)t8) != 0)
+ goto LAB9;
+
+LAB12: if (*((unsigned int *)t4) < *((unsigned int *)t5))
+ goto LAB10;
+
+LAB11: t10 = (t6 + 4);
+ t11 = *((unsigned int *)t10);
+ t12 = (~(t11));
+ t13 = *((unsigned int *)t6);
+ t14 = (t13 & t12);
+ t15 = (t14 != 0);
+ if (t15 > 0)
+ goto LAB13;
+
+LAB14: goto LAB1;
+
+LAB9: t9 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t9) = 1;
+ goto LAB11;
+
+LAB10: *((unsigned int *)t6) = 1;
+ goto LAB11;
+
+LAB13: xsi_set_current_line(60, ng0);
+
+LAB15: xsi_set_current_line(61, ng0);
+ t16 = (t0 + 2808);
+ xsi_process_wait(t16, 5000LL);
+ *((char **)t1) = &&LAB16;
+ goto LAB1;
+
+LAB16: xsi_set_current_line(61, ng0);
+ t2 = (t0 + 1448);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t4 + 4);
+ t11 = *((unsigned int *)t5);
+ t12 = (~(t11));
+ t13 = *((unsigned int *)t4);
+ t14 = (t13 & t12);
+ t15 = (t14 & 1U);
+ if (t15 != 0)
+ goto LAB20;
+
+LAB18: if (*((unsigned int *)t5) == 0)
+ goto LAB17;
+
+LAB19: t7 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t7) = 1;
+
+LAB20: t8 = (t6 + 4);
+ t9 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (~(t17));
+ *((unsigned int *)t6) = t18;
+ *((unsigned int *)t8) = 0;
+ if (*((unsigned int *)t9) != 0)
+ goto LAB22;
+
+LAB21: t23 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t23 & 1U);
+ t24 = *((unsigned int *)t8);
+ *((unsigned int *)t8) = (t24 & 1U);
+ t10 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t10, t6, 0, 0, 1);
+ xsi_set_current_line(62, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng4)));
+ memset(t6, 0, 8);
+ t7 = (t4 + 4);
+ t8 = (t5 + 4);
+ t11 = *((unsigned int *)t4);
+ t12 = *((unsigned int *)t5);
+ t13 = (t11 ^ t12);
+ t14 = *((unsigned int *)t7);
+ t15 = *((unsigned int *)t8);
+ t17 = (t14 ^ t15);
+ t18 = (t13 | t17);
+ t19 = *((unsigned int *)t7);
+ t20 = *((unsigned int *)t8);
+ t21 = (t19 | t20);
+ t22 = (~(t21));
+ t23 = (t18 & t22);
+ if (t23 != 0)
+ goto LAB26;
+
+LAB23: if (t21 != 0)
+ goto LAB25;
+
+LAB24: *((unsigned int *)t6) = 1;
+
+LAB26: t10 = (t6 + 4);
+ t24 = *((unsigned int *)t10);
+ t25 = (~(t24));
+ t26 = *((unsigned int *)t6);
+ t27 = (t26 & t25);
+ t28 = (t27 != 0);
+ if (t28 > 0)
+ goto LAB27;
+
+LAB28:
+LAB29: xsi_set_current_line(60, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng5)));
+ memset(t6, 0, 8);
+ xsi_vlog_unsigned_add(t6, 32, t4, 8, t5, 32);
+ t7 = (t0 + 2088);
+ xsi_vlogvar_assign_value(t7, t6, 0, 0, 8);
+ goto LAB7;
+
+LAB17: *((unsigned int *)t6) = 1;
+ goto LAB20;
+
+LAB22: t19 = *((unsigned int *)t6);
+ t20 = *((unsigned int *)t9);
+ *((unsigned int *)t6) = (t19 | t20);
+ t21 = *((unsigned int *)t8);
+ t22 = *((unsigned int *)t9);
+ *((unsigned int *)t8) = (t21 | t22);
+ goto LAB21;
+
+LAB25: t9 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t9) = 1;
+ goto LAB26;
+
+LAB27: xsi_set_current_line(62, ng0);
+
+LAB30: xsi_set_current_line(63, ng0);
+ t16 = ((char*)((ng5)));
+ t29 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t29, t16, 0, 0, 1);
+ xsi_set_current_line(63, ng0);
+ t2 = (t0 + 2808);
+ xsi_process_wait(t2, 5000LL);
+ *((char **)t1) = &&LAB31;
+ goto LAB1;
+
+LAB31: xsi_set_current_line(63, ng0);
+ t2 = (t0 + 1448);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t4 + 4);
+ t11 = *((unsigned int *)t5);
+ t12 = (~(t11));
+ t13 = *((unsigned int *)t4);
+ t14 = (t13 & t12);
+ t15 = (t14 & 1U);
+ if (t15 != 0)
+ goto LAB35;
+
+LAB33: if (*((unsigned int *)t5) == 0)
+ goto LAB32;
+
+LAB34: t7 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t7) = 1;
+
+LAB35: t8 = (t6 + 4);
+ t9 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (~(t17));
+ *((unsigned int *)t6) = t18;
+ *((unsigned int *)t8) = 0;
+ if (*((unsigned int *)t9) != 0)
+ goto LAB37;
+
+LAB36: t23 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t23 & 1U);
+ t24 = *((unsigned int *)t8);
+ *((unsigned int *)t8) = (t24 & 1U);
+ t10 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t10, t6, 0, 0, 1);
+ xsi_set_current_line(63, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ goto LAB29;
+
+LAB32: *((unsigned int *)t6) = 1;
+ goto LAB35;
+
+LAB37: t19 = *((unsigned int *)t6);
+ t20 = *((unsigned int *)t9);
+ *((unsigned int *)t6) = (t19 | t20);
+ t21 = *((unsigned int *)t8);
+ t22 = *((unsigned int *)t9);
+ *((unsigned int *)t8) = (t21 | t22);
+ goto LAB36;
+
+}
+
+
+extern void work_m_01236816096418509971_3448823162_init()
+{
+ static char *pe[] = {(void *)Initial_46_0};
+ xsi_register_didat("work_m_01236816096418509971_3448823162", "isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didat");
+ xsi_register_executes(pe);
+}
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didat b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didat
new file mode 100644
index 0000000..beb4669
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didat
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.lin64.o b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.lin64.o
new file mode 100644
index 0000000..34389cf
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.lin64.o
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c
new file mode 100644
index 0000000..a5af715
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c
@@ -0,0 +1,279 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab4/Countdown.v";
+static int ng1[] = {1, 0};
+static int ng2[] = {0, 0};
+
+
+
+static void Always_32_0(char *t0)
+{
+ char t4[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t5;
+ char *t6;
+ char *t7;
+ char *t8;
+ unsigned int t9;
+ unsigned int t10;
+ unsigned int t11;
+ unsigned int t12;
+ unsigned int t13;
+ char *t14;
+ char *t15;
+ unsigned int t16;
+ unsigned int t17;
+ unsigned int t18;
+ unsigned int t19;
+ unsigned int t20;
+ char *t21;
+ char *t22;
+
+LAB0: t1 = (t0 + 3000U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(32, ng0);
+ t2 = (t0 + 4064);
+ *((int *)t2) = 1;
+ t3 = (t0 + 3032);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(32, ng0);
+
+LAB5: xsi_set_current_line(33, ng0);
+ t5 = (t0 + 2088);
+ t6 = (t5 + 56U);
+ t7 = *((char **)t6);
+ memset(t4, 0, 8);
+ t8 = (t7 + 4);
+ t9 = *((unsigned int *)t8);
+ t10 = (~(t9));
+ t11 = *((unsigned int *)t7);
+ t12 = (t11 & t10);
+ t13 = (t12 & 1U);
+ if (t13 != 0)
+ goto LAB9;
+
+LAB7: if (*((unsigned int *)t8) == 0)
+ goto LAB6;
+
+LAB8: t14 = (t4 + 4);
+ *((unsigned int *)t4) = 1;
+ *((unsigned int *)t14) = 1;
+
+LAB9: t15 = (t4 + 4);
+ t16 = *((unsigned int *)t15);
+ t17 = (~(t16));
+ t18 = *((unsigned int *)t4);
+ t19 = (t18 & t17);
+ t20 = (t19 != 0);
+ if (t20 > 0)
+ goto LAB10;
+
+LAB11: xsi_set_current_line(35, ng0);
+
+LAB14: xsi_set_current_line(36, ng0);
+ t2 = (t0 + 1928);
+ t3 = (t2 + 56U);
+ t5 = *((char **)t3);
+ t6 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t6, t5, 0, 0, 8);
+
+LAB12: goto LAB2;
+
+LAB6: *((unsigned int *)t4) = 1;
+ goto LAB9;
+
+LAB10: xsi_set_current_line(33, ng0);
+
+LAB13: xsi_set_current_line(34, ng0);
+ t21 = (t0 + 1528U);
+ t22 = *((char **)t21);
+ t21 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t21, t22, 0, 0, 8);
+ goto LAB12;
+
+}
+
+static void Always_40_1(char *t0)
+{
+ char t17[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t6;
+ char *t7;
+ unsigned int t8;
+ unsigned int t9;
+ unsigned int t10;
+ unsigned int t11;
+ unsigned int t12;
+ char *t13;
+ char *t14;
+ char *t15;
+ char *t16;
+ char *t18;
+
+LAB0: t1 = (t0 + 3248U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(40, ng0);
+ t2 = (t0 + 4080);
+ *((int *)t2) = 1;
+ t3 = (t0 + 3280);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(40, ng0);
+
+LAB5: xsi_set_current_line(41, ng0);
+ t4 = (t0 + 2088);
+ t5 = (t4 + 56U);
+ t6 = *((char **)t5);
+ t7 = (t6 + 4);
+ t8 = *((unsigned int *)t7);
+ t9 = (~(t8));
+ t10 = *((unsigned int *)t6);
+ t11 = (t10 & t9);
+ t12 = (t11 != 0);
+ if (t12 > 0)
+ goto LAB6;
+
+LAB7: xsi_set_current_line(43, ng0);
+
+LAB10: xsi_set_current_line(44, ng0);
+ t2 = (t0 + 1528U);
+ t3 = *((char **)t2);
+ t2 = (t0 + 1928);
+ xsi_vlogvar_wait_assign_value(t2, t3, 0, 0, 8, 0LL);
+
+LAB8: goto LAB2;
+
+LAB6: xsi_set_current_line(41, ng0);
+
+LAB9: xsi_set_current_line(42, ng0);
+ t13 = (t0 + 1928);
+ t14 = (t13 + 56U);
+ t15 = *((char **)t14);
+ t16 = ((char*)((ng1)));
+ memset(t17, 0, 8);
+ xsi_vlog_unsigned_minus(t17, 32, t15, 8, t16, 32);
+ t18 = (t0 + 1928);
+ xsi_vlogvar_wait_assign_value(t18, t17, 0, 0, 8, 0LL);
+ goto LAB8;
+
+}
+
+static void Always_48_2(char *t0)
+{
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+
+LAB0: t1 = (t0 + 3496U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(48, ng0);
+ t2 = (t0 + 4096);
+ *((int *)t2) = 1;
+ t3 = (t0 + 3528);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(48, ng0);
+
+LAB5: xsi_set_current_line(49, ng0);
+ t4 = ((char*)((ng1)));
+ t5 = (t0 + 2088);
+ xsi_vlogvar_assign_value(t5, t4, 0, 0, 1);
+ goto LAB2;
+
+}
+
+static void Always_52_3(char *t0)
+{
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+
+LAB0: t1 = (t0 + 3744U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(52, ng0);
+ t2 = (t0 + 4112);
+ *((int *)t2) = 1;
+ t3 = (t0 + 3776);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(52, ng0);
+
+LAB5: xsi_set_current_line(53, ng0);
+ t4 = ((char*)((ng2)));
+ t5 = (t0 + 2088);
+ xsi_vlogvar_assign_value(t5, t4, 0, 0, 1);
+ xsi_set_current_line(54, ng0);
+ t2 = ((char*)((ng2)));
+ t3 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 8);
+ goto LAB2;
+
+}
+
+
+extern void work_m_06453055231304268951_4281377536_init()
+{
+ static char *pe[] = {(void *)Always_32_0,(void *)Always_40_1,(void *)Always_48_2,(void *)Always_52_3};
+ xsi_register_didat("work_m_06453055231304268951_4281377536", "isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didat");
+ xsi_register_executes(pe);
+}
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didat b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didat
new file mode 100644
index 0000000..94c37ef
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didat
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.lin64.o b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.lin64.o
new file mode 100644
index 0000000..f3118d5
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.lin64.o
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c
new file mode 100644
index 0000000..e4b1ef9
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c
@@ -0,0 +1,337 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/opt/Xilinx/13.4/ISE_DS/ISE/verilog/src/glbl.v";
+static unsigned int ng1[] = {1U, 0U};
+static unsigned int ng2[] = {0U, 0U};
+
+
+
+static void NetDecl_16_0(char *t0)
+{
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t6;
+ char *t7;
+ unsigned int t8;
+ unsigned int t9;
+ char *t10;
+ unsigned int t11;
+ unsigned int t12;
+ char *t13;
+ unsigned int t14;
+ unsigned int t15;
+ char *t16;
+
+LAB0: t1 = (t0 + 6952U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(16, ng0);
+ t2 = (t0 + 1960U);
+ t3 = *((char **)t2);
+ t2 = (t0 + 8640);
+ t4 = (t2 + 56U);
+ t5 = *((char **)t4);
+ t6 = (t5 + 56U);
+ t7 = *((char **)t6);
+ memset(t7, 0, 8);
+ t8 = 1U;
+ t9 = t8;
+ t10 = (t3 + 4);
+ t11 = *((unsigned int *)t3);
+ t8 = (t8 & t11);
+ t12 = *((unsigned int *)t10);
+ t9 = (t9 & t12);
+ t13 = (t7 + 4);
+ t14 = *((unsigned int *)t7);
+ *((unsigned int *)t7) = (t14 | t8);
+ t15 = *((unsigned int *)t13);
+ *((unsigned int *)t13) = (t15 | t9);
+ xsi_driver_vfirst_trans(t2, 0, 0U);
+ t16 = (t0 + 8512);
+ *((int *)t16) = 1;
+
+LAB1: return;
+}
+
+static void Cont_48_1(char *t0)
+{
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t6;
+ char *t7;
+ char *t8;
+ char *t9;
+ unsigned int t10;
+ unsigned int t11;
+ char *t12;
+ unsigned int t13;
+ unsigned int t14;
+ char *t15;
+ unsigned int t16;
+ unsigned int t17;
+ char *t18;
+
+LAB0: t1 = (t0 + 7200U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(48, ng0);
+ t2 = (t0 + 3640);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = (t0 + 8704);
+ t6 = (t5 + 56U);
+ t7 = *((char **)t6);
+ t8 = (t7 + 56U);
+ t9 = *((char **)t8);
+ memset(t9, 0, 8);
+ t10 = 1U;
+ t11 = t10;
+ t12 = (t4 + 4);
+ t13 = *((unsigned int *)t4);
+ t10 = (t10 & t13);
+ t14 = *((unsigned int *)t12);
+ t11 = (t11 & t14);
+ t15 = (t9 + 4);
+ t16 = *((unsigned int *)t9);
+ *((unsigned int *)t9) = (t16 | t10);
+ t17 = *((unsigned int *)t15);
+ *((unsigned int *)t15) = (t17 | t11);
+ xsi_driver_vfirst_trans(t5, 0, 0);
+ t18 = (t0 + 8528);
+ *((int *)t18) = 1;
+
+LAB1: return;
+}
+
+static void Cont_49_2(char *t0)
+{
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t6;
+ char *t7;
+ char *t8;
+ char *t9;
+ unsigned int t10;
+ unsigned int t11;
+ char *t12;
+ unsigned int t13;
+ unsigned int t14;
+ char *t15;
+ unsigned int t16;
+ unsigned int t17;
+ char *t18;
+
+LAB0: t1 = (t0 + 7448U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(49, ng0);
+ t2 = (t0 + 3800);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = (t0 + 8768);
+ t6 = (t5 + 56U);
+ t7 = *((char **)t6);
+ t8 = (t7 + 56U);
+ t9 = *((char **)t8);
+ memset(t9, 0, 8);
+ t10 = 1U;
+ t11 = t10;
+ t12 = (t4 + 4);
+ t13 = *((unsigned int *)t4);
+ t10 = (t10 & t13);
+ t14 = *((unsigned int *)t12);
+ t11 = (t11 & t14);
+ t15 = (t9 + 4);
+ t16 = *((unsigned int *)t9);
+ *((unsigned int *)t9) = (t16 | t10);
+ t17 = *((unsigned int *)t15);
+ *((unsigned int *)t15) = (t17 | t11);
+ xsi_driver_vfirst_trans(t5, 0, 0);
+ t18 = (t0 + 8544);
+ *((int *)t18) = 1;
+
+LAB1: return;
+}
+
+static void Cont_50_3(char *t0)
+{
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t6;
+ char *t7;
+ char *t8;
+ char *t9;
+ unsigned int t10;
+ unsigned int t11;
+ char *t12;
+ unsigned int t13;
+ unsigned int t14;
+ char *t15;
+ unsigned int t16;
+ unsigned int t17;
+ char *t18;
+
+LAB0: t1 = (t0 + 7696U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(50, ng0);
+ t2 = (t0 + 3960);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = (t0 + 8832);
+ t6 = (t5 + 56U);
+ t7 = *((char **)t6);
+ t8 = (t7 + 56U);
+ t9 = *((char **)t8);
+ memset(t9, 0, 8);
+ t10 = 1U;
+ t11 = t10;
+ t12 = (t4 + 4);
+ t13 = *((unsigned int *)t4);
+ t10 = (t10 & t13);
+ t14 = *((unsigned int *)t12);
+ t11 = (t11 & t14);
+ t15 = (t9 + 4);
+ t16 = *((unsigned int *)t9);
+ *((unsigned int *)t9) = (t16 | t10);
+ t17 = *((unsigned int *)t15);
+ *((unsigned int *)t15) = (t17 | t11);
+ xsi_driver_vfirst_trans(t5, 0, 0);
+ t18 = (t0 + 8560);
+ *((int *)t18) = 1;
+
+LAB1: return;
+}
+
+static void Initial_52_4(char *t0)
+{
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+
+LAB0: t1 = (t0 + 7944U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(52, ng0);
+
+LAB4: xsi_set_current_line(53, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 3640);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(54, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 3960);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(55, ng0);
+ t2 = (t0 + 7752);
+ xsi_process_wait(t2, 100000LL);
+ *((char **)t1) = &&LAB5;
+
+LAB1: return;
+LAB5: xsi_set_current_line(56, ng0);
+ t3 = ((char*)((ng2)));
+ t4 = (t0 + 3640);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 1);
+ xsi_set_current_line(57, ng0);
+ t2 = ((char*)((ng2)));
+ t3 = (t0 + 3960);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ goto LAB1;
+
+}
+
+static void Initial_60_5(char *t0)
+{
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+
+LAB0: t1 = (t0 + 8192U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(60, ng0);
+
+LAB4: xsi_set_current_line(61, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 3800);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(62, ng0);
+ t2 = (t0 + 8000);
+ xsi_process_wait(t2, 0LL);
+ *((char **)t1) = &&LAB5;
+
+LAB1: return;
+LAB5: xsi_set_current_line(63, ng0);
+ t3 = ((char*)((ng2)));
+ t4 = (t0 + 3800);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 1);
+ goto LAB1;
+
+}
+
+
+extern void work_m_16541823861846354283_2073120511_init()
+{
+ static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5};
+ xsi_register_didat("work_m_16541823861846354283_2073120511", "isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didat");
+ xsi_register_executes(pe);
+}
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didat b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didat
new file mode 100644
index 0000000..0d3c6aa
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didat
Binary files differ
diff --git a/isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.o b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.o
new file mode 100644
index 0000000..2c70287
--- /dev/null
+++ b/isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.o
Binary files differ