summaryrefslogtreecommitdiff
path: root/isim/Test_ContdownController_isim_beh.exe.sim
diff options
context:
space:
mode:
Diffstat (limited to 'isim/Test_ContdownController_isim_beh.exe.sim')
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbgbin0 -> 13768 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/isimcrash.log0
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/isimkernel.log29
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/netId.datbin0 -> 108 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/tmp_save/_1bin0 -> 9766 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.c44
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.lin64.obin0 -> 3264 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.c205
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.didatbin0 -> 2428 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.lin64.obin0 -> 5920 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.c718
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.didatbin0 -> 3204 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.lin64.obin0 -> 7144 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c279
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didatbin0 -> 3088 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.lin64.obin0 -> 5264 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.c192
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.didatbin0 -> 10888 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.lin64.obin0 -> 3976 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.c273
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.didatbin0 -> 2680 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.lin64.obin0 -> 4224 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.c722
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.didatbin0 -> 3904 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.lin64.obin0 -> 8800 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.c590
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.didatbin0 -> 2732 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.lin64.obin0 -> 7672 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.c249
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.didatbin0 -> 3664 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.lin64.obin0 -> 3776 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.c203
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.didatbin0 -> 2376 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.lin64.obin0 -> 3344 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin0 -> 5564 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin0 -> 5216 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.c538
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.didatbin0 -> 2792 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.lin64.obin0 -> 6880 bytes
40 files changed, 4379 insertions, 0 deletions
diff --git a/isim/Test_ContdownController_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/Test_ContdownController_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg
new file mode 100644
index 0000000..63c0de9
--- /dev/null
+++ b/isim/Test_ContdownController_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg
Binary files differ
diff --git a/isim/Test_ContdownController_isim_beh.exe.sim/isimcrash.log b/isim/Test_ContdownController_isim_beh.exe.sim/isimcrash.log
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/isim/Test_ContdownController_isim_beh.exe.sim/isimcrash.log
diff --git a/isim/Test_ContdownController_isim_beh.exe.sim/isimkernel.log b/isim/Test_ContdownController_isim_beh.exe.sim/isimkernel.log
new file mode 100644
index 0000000..f0d01ab
--- /dev/null
+++ b/isim/Test_ContdownController_isim_beh.exe.sim/isimkernel.log
@@ -0,0 +1,29 @@
+Command line:
+ Test_ContdownController_isim_beh.exe
+ -simmode gui
+ -simrunnum 0
+ -socket 59475
+
+Wed Mar 21 13:13:02 2012
+
+
+ Elaboration Time: 0.02 sec
+
+ Current Memory Usage: 181.703 Meg
+
+ Total Signals : 67
+ Total Nets : 223
+ Total Signal Drivers : 32
+ Total Blocks : 16
+ Total Primitive Blocks : 13
+ Total Processes : 55
+ Total Traceable Variables : 60
+ Total Scalar Nets and Variables : 592
+Total Line Count : 190
+
+ Total Simulation Time: 0.07 sec
+
+ Current Memory Usage: 257.204 Meg
+
+Wed Mar 21 13:14:04 2012
+
diff --git a/isim/Test_ContdownController_isim_beh.exe.sim/netId.dat b/isim/Test_ContdownController_isim_beh.exe.sim/netId.dat
new file mode 100644
index 0000000..71bf847
--- /dev/null
+++ b/isim/Test_ContdownController_isim_beh.exe.sim/netId.dat
Binary files differ
diff --git a/isim/Test_ContdownController_isim_beh.exe.sim/tmp_save/_1 b/isim/Test_ContdownController_isim_beh.exe.sim/tmp_save/_1
new file mode 100644
index 0000000..30c7155
--- /dev/null
+++ b/isim/Test_ContdownController_isim_beh.exe.sim/tmp_save/_1
Binary files differ
diff --git a/isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.c b/isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.c
new file mode 100644
index 0000000..c54e658
--- /dev/null
+++ b/isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.c
@@ -0,0 +1,44 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+#include "xsi.h"
+
+struct XSI_INFO xsi_info;
+
+
+
+int main(int argc, char **argv)
+{
+ xsi_init_design(argc, argv);
+ xsi_register_info(&xsi_info);
+
+ xsi_register_min_prec_unit(-12);
+ work_m_09461933616065074075_2531671071_init();
+ work_m_06185630164696979556_3598138731_init();
+ work_m_14878824473863214981_2647877144_init();
+ work_m_17579661360444318263_0092613024_init();
+ work_m_06453055231304268951_4281377536_init();
+ work_m_14079594305330756291_2618506667_init();
+ work_m_01832328269938973087_1606112044_init();
+ work_m_14181161885881575918_3845763652_init();
+ work_m_08578567565259243700_1151371814_init();
+ work_m_09637473393135046702_3413554552_init();
+ work_m_16541823861846354283_2073120511_init();
+
+
+ xsi_register_tops("work_m_09637473393135046702_3413554552");
+ xsi_register_tops("work_m_16541823861846354283_2073120511");
+
+
+ return xsi_run_simulation(argc, argv);
+
+}
diff --git a/isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.lin64.o b/isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.lin64.o
new file mode 100644
index 0000000..602f1f5
--- /dev/null
+++ b/isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.lin64.o
Binary files differ
diff --git a/isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.c b/isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.c
new file mode 100644
index 0000000..e594c98
--- /dev/null
+++ b/isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.c
@@ -0,0 +1,205 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab4/SevSegDisp.v";
+static unsigned int ng1[] = {0U, 0U};
+static unsigned int ng2[] = {1U, 0U};
+static unsigned int ng3[] = {79U, 0U};
+static unsigned int ng4[] = {2U, 0U};
+static unsigned int ng5[] = {18U, 0U};
+static unsigned int ng6[] = {3U, 0U};
+static unsigned int ng7[] = {6U, 0U};
+static unsigned int ng8[] = {4U, 0U};
+static unsigned int ng9[] = {76U, 0U};
+static unsigned int ng10[] = {5U, 0U};
+static unsigned int ng11[] = {36U, 0U};
+static unsigned int ng12[] = {32U, 0U};
+static unsigned int ng13[] = {7U, 0U};
+static unsigned int ng14[] = {15U, 0U};
+static unsigned int ng15[] = {8U, 0U};
+static unsigned int ng16[] = {9U, 0U};
+static unsigned int ng17[] = {12U, 0U};
+static unsigned int ng18[] = {26U, 0U};
+
+
+
+static void Always_29_0(char *t0)
+{
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ int t6;
+ char *t7;
+ char *t8;
+
+LAB0: t1 = (t0 + 2360U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(29, ng0);
+ t2 = (t0 + 2680);
+ *((int *)t2) = 1;
+ t3 = (t0 + 2392);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(29, ng0);
+
+LAB5: xsi_set_current_line(30, ng0);
+ t4 = (t0 + 1048U);
+ t5 = *((char **)t4);
+
+LAB6: t4 = ((char*)((ng1)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t4, 4);
+ if (t6 == 1)
+ goto LAB7;
+
+LAB8: t2 = ((char*)((ng2)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB9;
+
+LAB10: t2 = ((char*)((ng4)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB11;
+
+LAB12: t2 = ((char*)((ng6)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB13;
+
+LAB14: t2 = ((char*)((ng8)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB15;
+
+LAB16: t2 = ((char*)((ng10)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB17;
+
+LAB18: t2 = ((char*)((ng7)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB19;
+
+LAB20: t2 = ((char*)((ng13)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB21;
+
+LAB22: t2 = ((char*)((ng15)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB23;
+
+LAB24: t2 = ((char*)((ng16)));
+ t6 = xsi_vlog_unsigned_case_compare(t5, 4, t2, 4);
+ if (t6 == 1)
+ goto LAB25;
+
+LAB26:
+LAB28:
+LAB27: xsi_set_current_line(41, ng0);
+ t2 = ((char*)((ng18)));
+ t3 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 7);
+
+LAB29: goto LAB2;
+
+LAB7: xsi_set_current_line(31, ng0);
+ t7 = ((char*)((ng2)));
+ t8 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t8, t7, 0, 0, 7);
+ goto LAB29;
+
+LAB9: xsi_set_current_line(32, ng0);
+ t3 = ((char*)((ng3)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB11: xsi_set_current_line(33, ng0);
+ t3 = ((char*)((ng5)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB13: xsi_set_current_line(34, ng0);
+ t3 = ((char*)((ng7)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB15: xsi_set_current_line(35, ng0);
+ t3 = ((char*)((ng9)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB17: xsi_set_current_line(36, ng0);
+ t3 = ((char*)((ng11)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB19: xsi_set_current_line(37, ng0);
+ t3 = ((char*)((ng12)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB21: xsi_set_current_line(38, ng0);
+ t3 = ((char*)((ng14)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB23: xsi_set_current_line(39, ng0);
+ t3 = ((char*)((ng1)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+LAB25: xsi_set_current_line(40, ng0);
+ t3 = ((char*)((ng17)));
+ t4 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t4, t3, 0, 0, 7);
+ goto LAB29;
+
+}
+
+
+extern void work_m_01832328269938973087_1606112044_init()
+{
+ static char *pe[] = {(void *)Always_29_0};
+ xsi_register_didat("work_m_01832328269938973087_1606112044", "isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.didat");
+ xsi_register_executes(pe);
+}
diff --git a/isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.didat b/isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.didat
new file mode 100644
index 0000000..a25c52c
--- /dev/null
+++ b/isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.didat
Binary files differ
diff --git a/isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.lin64.o b/isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.lin64.o
new file mode 100644
index 0000000..305d62a
--- /dev/null
+++ b/isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.lin64.o
Binary files differ
diff --git a/isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.c b/isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.c
new file mode 100644
index 0000000..c798e64
--- /dev/null
+++ b/isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.c
@@ -0,0 +1,718 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab4/debouncer.v";
+static int ng1[] = {1, 0};
+static int ng2[] = {0, 0};
+static unsigned int ng3[] = {100000U, 0U};
+static unsigned int ng4[] = {1U, 0U};
+
+
+
+static void Always_16_0(char *t0)
+{
+ char t6[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t7;
+ char *t8;
+ unsigned int t9;
+ unsigned int t10;
+ unsigned int t11;
+ unsigned int t12;
+ unsigned int t13;
+ unsigned int t14;
+ unsigned int t15;
+ unsigned int t16;
+ unsigned int t17;
+ unsigned int t18;
+ unsigned int t19;
+ unsigned int t20;
+ char *t21;
+ char *t22;
+ unsigned int t23;
+ unsigned int t24;
+ unsigned int t25;
+ unsigned int t26;
+ unsigned int t27;
+ char *t28;
+ char *t29;
+
+LAB0: t1 = (t0 + 3320U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(16, ng0);
+ t2 = (t0 + 4136);
+ *((int *)t2) = 1;
+ t3 = (t0 + 3352);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(16, ng0);
+
+LAB5: xsi_set_current_line(17, ng0);
+ t4 = (t0 + 1208U);
+ t5 = *((char **)t4);
+ t4 = ((char*)((ng1)));
+ memset(t6, 0, 8);
+ t7 = (t5 + 4);
+ t8 = (t4 + 4);
+ t9 = *((unsigned int *)t5);
+ t10 = *((unsigned int *)t4);
+ t11 = (t9 ^ t10);
+ t12 = *((unsigned int *)t7);
+ t13 = *((unsigned int *)t8);
+ t14 = (t12 ^ t13);
+ t15 = (t11 | t14);
+ t16 = *((unsigned int *)t7);
+ t17 = *((unsigned int *)t8);
+ t18 = (t16 | t17);
+ t19 = (~(t18));
+ t20 = (t15 & t19);
+ if (t20 != 0)
+ goto LAB9;
+
+LAB6: if (t18 != 0)
+ goto LAB8;
+
+LAB7: *((unsigned int *)t6) = 1;
+
+LAB9: t22 = (t6 + 4);
+ t23 = *((unsigned int *)t22);
+ t24 = (~(t23));
+ t25 = *((unsigned int *)t6);
+ t26 = (t25 & t24);
+ t27 = (t26 != 0);
+ if (t27 > 0)
+ goto LAB10;
+
+LAB11: xsi_set_current_line(21, ng0);
+
+LAB14: xsi_set_current_line(22, ng0);
+ t2 = (t0 + 1368U);
+ t3 = *((char **)t2);
+ t2 = (t0 + 2088);
+ xsi_vlogvar_wait_assign_value(t2, t3, 0, 0, 1, 0LL);
+ xsi_set_current_line(23, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = (t0 + 2248);
+ xsi_vlogvar_wait_assign_value(t5, t4, 0, 0, 1, 0LL);
+
+LAB12: goto LAB2;
+
+LAB8: t21 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t21) = 1;
+ goto LAB9;
+
+LAB10: xsi_set_current_line(17, ng0);
+
+LAB13: xsi_set_current_line(18, ng0);
+ t28 = ((char*)((ng2)));
+ t29 = (t0 + 2088);
+ xsi_vlogvar_wait_assign_value(t29, t28, 0, 0, 1, 0LL);
+ xsi_set_current_line(19, ng0);
+ t2 = ((char*)((ng2)));
+ t3 = (t0 + 2248);
+ xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL);
+ goto LAB12;
+
+}
+
+static void Always_28_1(char *t0)
+{
+ char t6[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t7;
+ char *t8;
+ unsigned int t9;
+ unsigned int t10;
+ unsigned int t11;
+ unsigned int t12;
+ unsigned int t13;
+ unsigned int t14;
+ unsigned int t15;
+ unsigned int t16;
+ unsigned int t17;
+ unsigned int t18;
+ unsigned int t19;
+ unsigned int t20;
+ char *t21;
+ char *t22;
+ unsigned int t23;
+ unsigned int t24;
+ unsigned int t25;
+ unsigned int t26;
+ unsigned int t27;
+ char *t28;
+ char *t29;
+
+LAB0: t1 = (t0 + 3568U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(28, ng0);
+ t2 = (t0 + 4152);
+ *((int *)t2) = 1;
+ t3 = (t0 + 3600);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(28, ng0);
+
+LAB5: xsi_set_current_line(29, ng0);
+ t4 = (t0 + 1208U);
+ t5 = *((char **)t4);
+ t4 = ((char*)((ng1)));
+ memset(t6, 0, 8);
+ t7 = (t5 + 4);
+ t8 = (t4 + 4);
+ t9 = *((unsigned int *)t5);
+ t10 = *((unsigned int *)t4);
+ t11 = (t9 ^ t10);
+ t12 = *((unsigned int *)t7);
+ t13 = *((unsigned int *)t8);
+ t14 = (t12 ^ t13);
+ t15 = (t11 | t14);
+ t16 = *((unsigned int *)t7);
+ t17 = *((unsigned int *)t8);
+ t18 = (t16 | t17);
+ t19 = (~(t18));
+ t20 = (t15 & t19);
+ if (t20 != 0)
+ goto LAB9;
+
+LAB6: if (t18 != 0)
+ goto LAB8;
+
+LAB7: *((unsigned int *)t6) = 1;
+
+LAB9: t22 = (t6 + 4);
+ t23 = *((unsigned int *)t22);
+ t24 = (~(t23));
+ t25 = *((unsigned int *)t6);
+ t26 = (t25 & t24);
+ t27 = (t26 != 0);
+ if (t27 > 0)
+ goto LAB10;
+
+LAB11: xsi_set_current_line(32, ng0);
+
+LAB14: xsi_set_current_line(33, ng0);
+ t2 = (t0 + 2248);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = (t0 + 2408);
+ xsi_vlogvar_wait_assign_value(t5, t4, 0, 0, 1, 0LL);
+
+LAB12: goto LAB2;
+
+LAB8: t21 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t21) = 1;
+ goto LAB9;
+
+LAB10: xsi_set_current_line(29, ng0);
+
+LAB13: xsi_set_current_line(30, ng0);
+ t28 = ((char*)((ng2)));
+ t29 = (t0 + 2408);
+ xsi_vlogvar_wait_assign_value(t29, t28, 0, 0, 1, 0LL);
+ goto LAB12;
+
+}
+
+static void Always_38_2(char *t0)
+{
+ char t6[8];
+ char t32[8];
+ char t48[8];
+ char t64[8];
+ char t80[8];
+ char t88[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t7;
+ char *t8;
+ unsigned int t9;
+ unsigned int t10;
+ unsigned int t11;
+ unsigned int t12;
+ unsigned int t13;
+ unsigned int t14;
+ unsigned int t15;
+ unsigned int t16;
+ unsigned int t17;
+ unsigned int t18;
+ unsigned int t19;
+ unsigned int t20;
+ char *t21;
+ char *t22;
+ unsigned int t23;
+ unsigned int t24;
+ unsigned int t25;
+ unsigned int t26;
+ unsigned int t27;
+ char *t28;
+ char *t29;
+ char *t30;
+ char *t31;
+ char *t33;
+ char *t34;
+ unsigned int t35;
+ unsigned int t36;
+ unsigned int t37;
+ unsigned int t38;
+ unsigned int t39;
+ unsigned int t40;
+ unsigned int t41;
+ unsigned int t42;
+ unsigned int t43;
+ unsigned int t44;
+ unsigned int t45;
+ unsigned int t46;
+ char *t47;
+ char *t49;
+ unsigned int t50;
+ unsigned int t51;
+ unsigned int t52;
+ unsigned int t53;
+ unsigned int t54;
+ char *t55;
+ char *t56;
+ unsigned int t57;
+ unsigned int t58;
+ unsigned int t59;
+ char *t60;
+ char *t61;
+ char *t62;
+ char *t63;
+ char *t65;
+ char *t66;
+ unsigned int t67;
+ unsigned int t68;
+ unsigned int t69;
+ unsigned int t70;
+ unsigned int t71;
+ unsigned int t72;
+ unsigned int t73;
+ unsigned int t74;
+ unsigned int t75;
+ unsigned int t76;
+ unsigned int t77;
+ unsigned int t78;
+ char *t79;
+ char *t81;
+ unsigned int t82;
+ unsigned int t83;
+ unsigned int t84;
+ unsigned int t85;
+ unsigned int t86;
+ char *t87;
+ unsigned int t89;
+ unsigned int t90;
+ unsigned int t91;
+ char *t92;
+ char *t93;
+ char *t94;
+ unsigned int t95;
+ unsigned int t96;
+ unsigned int t97;
+ unsigned int t98;
+ unsigned int t99;
+ unsigned int t100;
+ unsigned int t101;
+ char *t102;
+ char *t103;
+ unsigned int t104;
+ unsigned int t105;
+ unsigned int t106;
+ unsigned int t107;
+ unsigned int t108;
+ unsigned int t109;
+ unsigned int t110;
+ unsigned int t111;
+ int t112;
+ int t113;
+ unsigned int t114;
+ unsigned int t115;
+ unsigned int t116;
+ unsigned int t117;
+ unsigned int t118;
+ unsigned int t119;
+ char *t120;
+ unsigned int t121;
+ unsigned int t122;
+ unsigned int t123;
+ unsigned int t124;
+ unsigned int t125;
+ char *t126;
+ char *t127;
+
+LAB0: t1 = (t0 + 3816U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(38, ng0);
+ t2 = (t0 + 4168);
+ *((int *)t2) = 1;
+ t3 = (t0 + 3848);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(38, ng0);
+
+LAB5: xsi_set_current_line(39, ng0);
+ t4 = (t0 + 1208U);
+ t5 = *((char **)t4);
+ t4 = ((char*)((ng1)));
+ memset(t6, 0, 8);
+ t7 = (t5 + 4);
+ t8 = (t4 + 4);
+ t9 = *((unsigned int *)t5);
+ t10 = *((unsigned int *)t4);
+ t11 = (t9 ^ t10);
+ t12 = *((unsigned int *)t7);
+ t13 = *((unsigned int *)t8);
+ t14 = (t12 ^ t13);
+ t15 = (t11 | t14);
+ t16 = *((unsigned int *)t7);
+ t17 = *((unsigned int *)t8);
+ t18 = (t16 | t17);
+ t19 = (~(t18));
+ t20 = (t15 & t19);
+ if (t20 != 0)
+ goto LAB9;
+
+LAB6: if (t18 != 0)
+ goto LAB8;
+
+LAB7: *((unsigned int *)t6) = 1;
+
+LAB9: t22 = (t6 + 4);
+ t23 = *((unsigned int *)t22);
+ t24 = (~(t23));
+ t25 = *((unsigned int *)t6);
+ t26 = (t25 & t24);
+ t27 = (t26 != 0);
+ if (t27 > 0)
+ goto LAB10;
+
+LAB11: xsi_set_current_line(42, ng0);
+
+LAB14: xsi_set_current_line(43, ng0);
+ t2 = (t0 + 1928);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng2)));
+ memset(t6, 0, 8);
+ t7 = (t4 + 4);
+ t8 = (t5 + 4);
+ t9 = *((unsigned int *)t4);
+ t10 = *((unsigned int *)t5);
+ t11 = (t9 ^ t10);
+ t12 = *((unsigned int *)t7);
+ t13 = *((unsigned int *)t8);
+ t14 = (t12 ^ t13);
+ t15 = (t11 | t14);
+ t16 = *((unsigned int *)t7);
+ t17 = *((unsigned int *)t8);
+ t18 = (t16 | t17);
+ t19 = (~(t18));
+ t20 = (t15 & t19);
+ if (t20 != 0)
+ goto LAB18;
+
+LAB15: if (t18 != 0)
+ goto LAB17;
+
+LAB16: *((unsigned int *)t6) = 1;
+
+LAB18: t22 = (t6 + 4);
+ t23 = *((unsigned int *)t22);
+ t24 = (~(t23));
+ t25 = *((unsigned int *)t6);
+ t26 = (t25 & t24);
+ t27 = (t26 != 0);
+ if (t27 > 0)
+ goto LAB19;
+
+LAB20: xsi_set_current_line(49, ng0);
+
+LAB49: xsi_set_current_line(50, ng0);
+ t2 = (t0 + 1928);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng4)));
+ memset(t6, 0, 8);
+ xsi_vlog_unsigned_minus(t6, 22, t4, 22, t5, 22);
+ t7 = (t0 + 1928);
+ xsi_vlogvar_wait_assign_value(t7, t6, 0, 0, 22, 0LL)