summaryrefslogtreecommitdiff
path: root/isim
diff options
context:
space:
mode:
authorMichael Abed <michaelabed@gmail.com>2012-03-29 16:17:45 -0400
committerMichael Abed <michaelabed@gmail.com>2012-03-29 16:17:45 -0400
commit9540811daaffad7811475ea584333ab633ba8508 (patch)
tree9c20071e05b1225f9b466dede6236a7cd53ea851 /isim
parentf9328ba21afde12326c04e59eb542446faf5fae4 (diff)
downloadec311-lab5-master.tar.gz
ec311-lab5-master.tar.bz2
ec311-lab5-master.zip
Diffstat (limited to 'isim')
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbgbin0 -> 4486 bytes
-rwxr-xr-xisim/TEST_Bin2BCD_isim_beh.exe.sim/TEST_Bin2BCD_isim_beh.exebin0 -> 16587 bytes
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/isimcrash.log0
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/isimkernel.log29
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/netId.datbin0 -> 84 bytes
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/tmp_save/_1bin0 -> 2700 bytes
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/work/TEST_Bin2BCD_isim_beh.exe_main.c36
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/work/TEST_Bin2BCD_isim_beh.exe_main.lin64.obin0 -> 2432 bytes
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_16012083836245549588_2618506667.c707
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_16012083836245549588_2618506667.didatbin0 -> 2812 bytes
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_16012083836245549588_2618506667.lin64.obin0 -> 8424 bytes
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin0 -> 5548 bytes
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin0 -> 5216 bytes
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_17956504886923659924_0893867553.c108
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_17956504886923659924_0893867553.didatbin0 -> 3276 bytes
-rw-r--r--isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_17956504886923659924_0893867553.lin64.obin0 -> 4072 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbgbin0 -> 7194 bytes
-rwxr-xr-xisim/TEST_DisplayController_isim_beh.exe.sim/TEST_DisplayController_isim_beh.exebin0 -> 18442 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/isimcrash.log0
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/isimkernel.log29
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/netId.datbin0 -> 116 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/tmp_save/_1bin0 -> 4822 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/TEST_DisplayController_isim_beh.exe_main.c38
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/TEST_DisplayController_isim_beh.exe_main.lin64.obin0 -> 2648 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.c205
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.didatbin0 -> 2428 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_00071202231550837446_1606112044.lin64.obin0 -> 5920 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.c201
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.didatbin0 -> 3876 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_07373066261735772851_1207358656.lin64.obin0 -> 4824 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.c274
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.didatbin0 -> 2680 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.lin64.obin0 -> 4160 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_13807125322707046414_3845763652.c219
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_13807125322707046414_3845763652.didatbin0 -> 5568 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_13807125322707046414_3845763652.lin64.obin0 -> 5552 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin0 -> 5564 bytes
-rw-r--r--isim/TEST_DisplayController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin0 -> 5216 bytes
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbgbin0 -> 4383 bytes
-rwxr-xr-xisim/TEST_FirFilter_isim_beh.exe.sim/TEST_FirFilter_isim_beh.exebin0 -> 15170 bytes
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/isimcrash.log0
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/isimkernel.log29
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/netId.datbin0 -> 84 bytes
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/tmp_save/_1bin0 -> 2527 bytes
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/work/TEST_FirFilter_isim_beh.exe_main.c36
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/work/TEST_FirFilter_isim_beh.exe_main.lin64.obin0 -> 2432 bytes
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/work/m_05679693179034758439_1243158022.c171
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/work/m_05679693179034758439_1243158022.didatbin0 -> 2932 bytes
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/work/m_05679693179034758439_1243158022.lin64.obin0 -> 4216 bytes
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/work/m_11346574241544900769_1316107190.c198
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/work/m_11346574241544900769_1316107190.didatbin0 -> 3084 bytes
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/work/m_11346574241544900769_1316107190.lin64.obin0 -> 6600 bytes
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin0 -> 5556 bytes
-rw-r--r--isim/TEST_FirFilter_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin0 -> 5216 bytes
-rw-r--r--isim/isim_usage_statistics.html16
-rw-r--r--isim/lockfile0
-rw-r--r--isim/pn_info1
-rw-r--r--isim/work/@f@i@r@filter.sdbbin0 -> 2571 bytes
-rw-r--r--isim/work/@t@e@s@t_@fir@filter.sdbbin0 -> 3162 bytes
-rw-r--r--isim/work/glbl.sdbbin0 -> 5172 bytes
63 files changed, 3308 insertions, 0 deletions
diff --git a/isim/TEST_Bin2BCD_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/TEST_Bin2BCD_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg
new file mode 100644
index 0000000..e4626e0
--- /dev/null
+++ b/isim/TEST_Bin2BCD_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg
Binary files differ
diff --git a/isim/TEST_Bin2BCD_isim_beh.exe.sim/TEST_Bin2BCD_isim_beh.exe b/isim/TEST_Bin2BCD_isim_beh.exe.sim/TEST_Bin2BCD_isim_beh.exe
new file mode 100755
index 0000000..fa04231
--- /dev/null
+++ b/isim/TEST_Bin2BCD_isim_beh.exe.sim/TEST_Bin2BCD_isim_beh.exe
Binary files differ
diff --git a/isim/TEST_Bin2BCD_isim_beh.exe.sim/isimcrash.log b/isim/TEST_Bin2BCD_isim_beh.exe.sim/isimcrash.log
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/isim/TEST_Bin2BCD_isim_beh.exe.sim/isimcrash.log
diff --git a/isim/TEST_Bin2BCD_isim_beh.exe.sim/isimkernel.log b/isim/TEST_Bin2BCD_isim_beh.exe.sim/isimkernel.log
new file mode 100644
index 0000000..04dde27
--- /dev/null
+++ b/isim/TEST_Bin2BCD_isim_beh.exe.sim/isimkernel.log
@@ -0,0 +1,29 @@
+Command line:
+ TEST_Bin2BCD_isim_beh.exe
+ -simmode gui
+ -simrunnum 0
+ -socket 36066
+
+Thu Mar 29 15:27:41 2012
+
+
+ Elaboration Time: 0.02 sec
+
+ Current Memory Usage: 181.277 Meg
+
+ Total Signals : 18
+ Total Nets : 45
+ Total Signal Drivers : 10
+ Total Blocks : 3
+ Total Primitive Blocks : 2
+ Total Processes : 13
+ Total Traceable Variables : 25
+ Total Scalar Nets and Variables : 193
+Total Line Count : 39
+
+ Total Simulation Time: 0.04 sec
+
+ Current Memory Usage: 256.778 Meg
+
+Thu Mar 29 15:28:03 2012
+
diff --git a/isim/TEST_Bin2BCD_isim_beh.exe.sim/netId.dat b/isim/TEST_Bin2BCD_isim_beh.exe.sim/netId.dat
new file mode 100644
index 0000000..9ecf9af
--- /dev/null
+++ b/isim/TEST_Bin2BCD_isim_beh.exe.sim/netId.dat
Binary files differ
diff --git a/isim/TEST_Bin2BCD_isim_beh.exe.sim/tmp_save/_1 b/isim/TEST_Bin2BCD_isim_beh.exe.sim/tmp_save/_1
new file mode 100644
index 0000000..def0567
--- /dev/null
+++ b/isim/TEST_Bin2BCD_isim_beh.exe.sim/tmp_save/_1
Binary files differ
diff --git a/isim/TEST_Bin2BCD_isim_beh.exe.sim/work/TEST_Bin2BCD_isim_beh.exe_main.c b/isim/TEST_Bin2BCD_isim_beh.exe.sim/work/TEST_Bin2BCD_isim_beh.exe_main.c
new file mode 100644
index 0000000..e214f38
--- /dev/null
+++ b/isim/TEST_Bin2BCD_isim_beh.exe.sim/work/TEST_Bin2BCD_isim_beh.exe_main.c
@@ -0,0 +1,36 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+#include "xsi.h"
+
+struct XSI_INFO xsi_info;
+
+
+
+int main(int argc, char **argv)
+{
+ xsi_init_design(argc, argv);
+ xsi_register_info(&xsi_info);
+
+ xsi_register_min_prec_unit(-12);
+ work_m_16012083836245549588_2618506667_init();
+ work_m_17956504886923659924_0893867553_init();
+ work_m_16541823861846354283_2073120511_init();
+
+
+ xsi_register_tops("work_m_17956504886923659924_0893867553");
+ xsi_register_tops("work_m_16541823861846354283_2073120511");
+
+
+ return xsi_run_simulation(argc, argv);
+
+}
diff --git a/isim/TEST_Bin2BCD_isim_beh.exe.sim/work/TEST_Bin2BCD_isim_beh.exe_main.lin64.o b/isim/TEST_Bin2BCD_isim_beh.exe.sim/work/TEST_Bin2BCD_isim_beh.exe_main.lin64.o
new file mode 100644
index 0000000..901350c
--- /dev/null
+++ b/isim/TEST_Bin2BCD_isim_beh.exe.sim/work/TEST_Bin2BCD_isim_beh.exe_main.lin64.o
Binary files differ
diff --git a/isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_16012083836245549588_2618506667.c b/isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_16012083836245549588_2618506667.c
new file mode 100644
index 0000000..d490288
--- /dev/null
+++ b/isim/TEST_Bin2BCD_isim_beh.exe.sim/work/m_16012083836245549588_2618506667.c
@@ -0,0 +1,707 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab5/Bin2BCD.v";
+static int ng1[] = {0, 0};
+static int ng2[] = {15, 0};
+static int ng3[] = {1, 0};
+static int ng4[] = {5, 0};
+static unsigned int ng5[] = {3U, 0U};
+static int ng6[] = {31, 0};
+static int ng7[] = {28, 0};
+static int ng8[] = {27, 0};
+static int ng9[] = {24, 0};
+static int ng10[] = {23, 0};
+static int ng11[] = {20, 0};
+static int ng12[] = {19, 0};
+static int ng13[] = {16, 0};
+
+
+
+static void Always_33_0(char *t0)
+{
+ char t6[8];
+ char t22[8];
+ char t29[8];
+ char t38[8];
+ char t40[8];
+ char t41[8];
+ char t42[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t7;
+ char *t8;
+ char *t9;
+ char *t10;
+ char *t11;
+ char *t12;
+ char *t13;
+ char *t14;
+ char *t15;
+ char *t16;
+ unsigned int t17;
+ unsigned int t18;
+ unsigned int t19;
+ unsigned int t20;
+ unsigned int t21;
+ unsigned int t23;
+ unsigned int t24;
+ unsigned int t25;
+ unsigned int t26;
+ unsigned int t27;
+ unsigned int t28;
+ char *t30;
+ unsigned int t31;
+ unsigned int t32;
+ unsigned int t33;
+ unsigned int t34;
+ unsigned int t35;
+ unsigned int t36;
+ char *t37;
+ char *t39;
+ char *t43;
+ char *t44;
+ char *t45;
+ char *t46;
+ char *t47;
+ char *t48;
+ unsigned int t49;
+ int t50;
+ char *t51;
+ unsigned int t52;
+ int t53;
+ int t54;
+ char *t55;
+ unsigned int t56;
+ int t57;
+ int t58;
+ unsigned int t59;
+ int t60;
+ unsigned int t61;
+ unsigned int t62;
+ int t63;
+ int t64;
+
+LAB0: t1 = (t0 + 3160U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(33, ng0);
+ t2 = (t0 + 3480);
+ *((int *)t2) = 1;
+ t3 = (t0 + 3192);
+ *((char **)t3) = t2;
+ *((char **)t1) = &&LAB4;
+
+LAB1: return;
+LAB4: xsi_set_current_line(33, ng0);
+
+LAB5: xsi_set_current_line(34, ng0);
+ t4 = ((char*)((ng1)));
+ t5 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t5, t4, 0, 0, 4);
+ xsi_set_current_line(34, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1608);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 4);
+ xsi_set_current_line(34, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 4);
+ xsi_set_current_line(35, ng0);
+ t2 = (t0 + 1048U);
+ t3 = *((char **)t2);
+ t2 = (t0 + 1448);
+ t4 = (t2 + 56U);
+ t5 = *((char **)t4);
+ t7 = (t0 + 1608);
+ t8 = (t7 + 56U);
+ t9 = *((char **)t8);
+ t10 = (t0 + 1768);
+ t11 = (t10 + 56U);
+ t12 = *((char **)t11);
+ t13 = (t0 + 1928);
+ t14 = (t13 + 56U);
+ t15 = *((char **)t14);
+ xsi_vlogtype_concat(t6, 32, 32, 5U, t15, 4, t12, 4, t9, 4, t5, 4, t3, 16);
+ t16 = (t0 + 2088);
+ xsi_vlogvar_assign_value(t16, t6, 0, 0, 32);
+ xsi_set_current_line(37, ng0);
+ xsi_set_current_line(37, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 2248);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 4);
+
+LAB6: t2 = (t0 + 2248);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng2)));
+ memset(t6, 0, 8);
+ t7 = (t4 + 4);
+ if (*((unsigned int *)t7) != 0)
+ goto LAB8;
+
+LAB7: t8 = (t5 + 4);
+ if (*((unsigned int *)t8) != 0)
+ goto LAB8;
+
+LAB11: if (*((unsigned int *)t4) < *((unsigned int *)t5))
+ goto LAB9;
+
+LAB10: t10 = (t6 + 4);
+ t17 = *((unsigned int *)t10);
+ t18 = (~(t17));
+ t19 = *((unsigned int *)t6);
+ t20 = (t19 & t18);
+ t21 = (t20 != 0);
+ if (t21 > 0)
+ goto LAB12;
+
+LAB13: xsi_set_current_line(49, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng3)));
+ memset(t6, 0, 8);
+ xsi_vlog_unsigned_lshift(t6, 32, t4, 32, t5, 32);
+ t7 = (t0 + 2088);
+ xsi_vlogvar_assign_value(t7, t6, 0, 0, 32);
+ xsi_set_current_line(51, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t6 + 4);
+ t7 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (t17 >> 28);
+ *((unsigned int *)t6) = t18;
+ t19 = *((unsigned int *)t7);
+ t20 = (t19 >> 28);
+ *((unsigned int *)t5) = t20;
+ t21 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t21 & 15U);
+ t23 = *((unsigned int *)t5);
+ *((unsigned int *)t5) = (t23 & 15U);
+ t8 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t8, t6, 0, 0, 4);
+ xsi_set_current_line(52, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t6 + 4);
+ t7 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (t17 >> 24);
+ *((unsigned int *)t6) = t18;
+ t19 = *((unsigned int *)t7);
+ t20 = (t19 >> 24);
+ *((unsigned int *)t5) = t20;
+ t21 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t21 & 15U);
+ t23 = *((unsigned int *)t5);
+ *((unsigned int *)t5) = (t23 & 15U);
+ t8 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t8, t6, 0, 0, 4);
+ xsi_set_current_line(53, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t6 + 4);
+ t7 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (t17 >> 20);
+ *((unsigned int *)t6) = t18;
+ t19 = *((unsigned int *)t7);
+ t20 = (t19 >> 20);
+ *((unsigned int *)t5) = t20;
+ t21 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t21 & 15U);
+ t23 = *((unsigned int *)t5);
+ *((unsigned int *)t5) = (t23 & 15U);
+ t8 = (t0 + 1608);
+ xsi_vlogvar_assign_value(t8, t6, 0, 0, 4);
+ xsi_set_current_line(54, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t6 + 4);
+ t7 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (t17 >> 16);
+ *((unsigned int *)t6) = t18;
+ t19 = *((unsigned int *)t7);
+ t20 = (t19 >> 16);
+ *((unsigned int *)t5) = t20;
+ t21 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t21 & 15U);
+ t23 = *((unsigned int *)t5);
+ *((unsigned int *)t5) = (t23 & 15U);
+ t8 = (t0 + 1448);
+ xsi_vlogvar_assign_value(t8, t6, 0, 0, 4);
+ goto LAB2;
+
+LAB8: t9 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t9) = 1;
+ goto LAB10;
+
+LAB9: *((unsigned int *)t6) = 1;
+ goto LAB10;
+
+LAB12: xsi_set_current_line(37, ng0);
+
+LAB14: xsi_set_current_line(38, ng0);
+ t11 = (t0 + 2088);
+ t12 = (t11 + 56U);
+ t13 = *((char **)t12);
+ t14 = ((char*)((ng3)));
+ memset(t22, 0, 8);
+ xsi_vlog_unsigned_lshift(t22, 32, t13, 32, t14, 32);
+ t15 = (t0 + 2088);
+ xsi_vlogvar_assign_value(t15, t22, 0, 0, 32);
+ xsi_set_current_line(39, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t6 + 4);
+ t7 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (t17 >> 28);
+ *((unsigned int *)t6) = t18;
+ t19 = *((unsigned int *)t7);
+ t20 = (t19 >> 28);
+ *((unsigned int *)t5) = t20;
+ t21 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t21 & 15U);
+ t23 = *((unsigned int *)t5);
+ *((unsigned int *)t5) = (t23 & 15U);
+ t8 = ((char*)((ng4)));
+ memset(t22, 0, 8);
+ t9 = (t6 + 4);
+ if (*((unsigned int *)t9) != 0)
+ goto LAB16;
+
+LAB15: t10 = (t8 + 4);
+ if (*((unsigned int *)t10) != 0)
+ goto LAB16;
+
+LAB19: if (*((unsigned int *)t6) < *((unsigned int *)t8))
+ goto LAB18;
+
+LAB17: *((unsigned int *)t22) = 1;
+
+LAB18: t12 = (t22 + 4);
+ t24 = *((unsigned int *)t12);
+ t25 = (~(t24));
+ t26 = *((unsigned int *)t22);
+ t27 = (t26 & t25);
+ t28 = (t27 != 0);
+ if (t28 > 0)
+ goto LAB20;
+
+LAB21:
+LAB22: xsi_set_current_line(41, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t6 + 4);
+ t7 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (t17 >> 24);
+ *((unsigned int *)t6) = t18;
+ t19 = *((unsigned int *)t7);
+ t20 = (t19 >> 24);
+ *((unsigned int *)t5) = t20;
+ t21 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t21 & 15U);
+ t23 = *((unsigned int *)t5);
+ *((unsigned int *)t5) = (t23 & 15U);
+ t8 = ((char*)((ng4)));
+ memset(t22, 0, 8);
+ t9 = (t6 + 4);
+ if (*((unsigned int *)t9) != 0)
+ goto LAB26;
+
+LAB25: t10 = (t8 + 4);
+ if (*((unsigned int *)t10) != 0)
+ goto LAB26;
+
+LAB29: if (*((unsigned int *)t6) < *((unsigned int *)t8))
+ goto LAB28;
+
+LAB27: *((unsigned int *)t22) = 1;
+
+LAB28: t12 = (t22 + 4);
+ t24 = *((unsigned int *)t12);
+ t25 = (~(t24));
+ t26 = *((unsigned int *)t22);
+ t27 = (t26 & t25);
+ t28 = (t27 != 0);
+ if (t28 > 0)
+ goto LAB30;
+
+LAB31:
+LAB32: xsi_set_current_line(43, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t6 + 4);
+ t7 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (t17 >> 20);
+ *((unsigned int *)t6) = t18;
+ t19 = *((unsigned int *)t7);
+ t20 = (t19 >> 20);
+ *((unsigned int *)t5) = t20;
+ t21 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t21 & 15U);
+ t23 = *((unsigned int *)t5);
+ *((unsigned int *)t5) = (t23 & 15U);
+ t8 = ((char*)((ng4)));
+ memset(t22, 0, 8);
+ t9 = (t6 + 4);
+ if (*((unsigned int *)t9) != 0)
+ goto LAB36;
+
+LAB35: t10 = (t8 + 4);
+ if (*((unsigned int *)t10) != 0)
+ goto LAB36;
+
+LAB39: if (*((unsigned int *)t6) < *((unsigned int *)t8))
+ goto LAB38;
+
+LAB37: *((unsigned int *)t22) = 1;
+
+LAB38: t12 = (t22 + 4);
+ t24 = *((unsigned int *)t12);
+ t25 = (~(t24));
+ t26 = *((unsigned int *)t22);
+ t27 = (t26 & t25);
+ t28 = (t27 != 0);
+ if (t28 > 0)
+ goto LAB40;
+
+LAB41:
+LAB42: xsi_set_current_line(45, ng0);
+ t2 = (t0 + 2088);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t6 + 4);
+ t7 = (t4 + 4);
+ t17 = *((unsigned int *)t4);
+ t18 = (t17 >> 16);
+ *((unsigned int *)t6) = t18;
+ t19 = *((unsigned int *)t7);
+ t20 = (t19 >> 16);
+ *((unsigned int *)t5) = t20;
+ t21 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t21 & 15U);
+ t23 = *((unsigned int *)t5);
+ *((unsigned int *)t5) = (t23 & 15U);
+ t8 = ((char*)((ng4)));
+ memset(t22, 0, 8);
+ t9 = (t6 + 4);
+ if (*((unsigned int *)t9) != 0)
+ goto LAB46;
+
+LAB45: t10 = (t8 + 4);
+ if (*((unsigned int *)t10) != 0)
+ goto LAB46;
+
+LAB49: if (*((unsigned int *)t6) < *((unsigned int *)t8))
+ goto LAB48;
+
+LAB47: *((unsigned int *)t22) = 1;
+
+LAB48: t12 = (t22 + 4);
+ t24 = *((unsigned int *)t12);
+ t25 = (~(t24));
+ t26 = *((unsigned int *)t22);
+ t27 = (t26 & t25);
+ t28 = (t27 != 0);
+ if (t28 > 0)
+ goto LAB50;
+
+LAB51:
+LAB52: xsi_set_current_line(37, ng0);
+ t2 = (t0 + 2248);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng3)));
+ memset(t6, 0, 8);
+ xsi_vlog_unsigned_add(t6, 32, t4, 4, t5, 32);
+ t7 = (t0 + 2248);
+ xsi_vlogvar_assign_value(t7, t6, 0, 0, 4);
+ goto LAB6;
+
+LAB16: t11 = (t22 + 4);
+ *((unsigned int *)t22) = 1;
+ *((unsigned int *)t11) = 1;
+ goto LAB18;
+
+LAB20: xsi_set_current_line(40, ng0);
+ t13 = (t0 + 2088);
+ t14 = (t13 + 56U);
+ t15 = *((char **)t14);
+ memset(t29, 0, 8);
+ t16 = (t29 + 4);
+ t30 = (t15 + 4);
+ t31 = *((unsigned int *)t15);
+ t32 = (t31 >> 28);
+ *((unsigned int *)t29) = t32;
+ t33 = *((unsigned int *)t30);
+ t34 = (t33 >> 28);
+ *((unsigned int *)t16) = t34;
+ t35 = *((unsigned int *)t29);
+ *((unsigned int *)t29) = (t35 & 15U);
+ t36 = *((unsigned int *)t16);
+ *((unsigned int *)t16) = (t36 & 15U);
+ t37 = ((char*)((ng5)));
+ memset(t38, 0, 8);
+ xsi_vlog_unsigned_add(t38, 4, t29, 4, t37, 4);
+ t39 = (t0 + 2088);
+ t43 = (t0 + 2088);
+ t44 = (t43 + 72U);
+ t45 = *((char **)t44);
+ t46 = ((char*)((ng6)));
+ t47 = ((char*)((ng7)));
+ xsi_vlog_convert_partindices(t40, t41, t42, ((int*)(t45)), 2, t46, 32, 1, t47, 32, 1);
+ t48 = (t40 + 4);
+ t49 = *((unsigned int *)t48);
+ t50 = (!(t49));
+ t51 = (t41 + 4);
+ t52 = *((unsigned int *)t51);
+ t53 = (!(t52));
+ t54 = (t50 && t53);
+ t55 = (t42 + 4);
+ t56 = *((unsigned int *)t55);
+ t57 = (!(t56));
+ t58 = (t54 && t57);
+ if (t58 == 1)
+ goto LAB23;
+
+LAB24: goto LAB22;
+
+LAB23: t59 = *((unsigned int *)t42);
+ t60 = (t59 + 0);
+ t61 = *((unsigned int *)t40);
+ t62 = *((unsigned int *)t41);
+ t63 = (t61 - t62);
+ t64 = (t63 + 1);
+ xsi_vlogvar_assign_value(t39, t38, t60, *((unsigned int *)t41), t64);
+ goto LAB24;
+
+LAB26: t11 = (t22 + 4);
+ *((unsigned int *)t22) = 1;
+ *((unsigned int *)t11) = 1;
+ goto LAB28;
+
+LAB30: xsi_set_current_line(42, ng0);
+ t13 = (t0 + 2088);
+ t14 = (t13 + 56U);
+ t15 = *((char **)t14);
+ memset(t29, 0, 8);
+ t16 = (t29 + 4);
+ t30 = (t15 + 4);
+ t31 = *((unsigned int *)t15);
+ t32 = (t31 >> 24);
+ *((unsigned int *)t29) = t32;
+ t33 = *((unsigned int *)t30);
+ t34 = (t33 >> 24);
+ *((unsigned int *)t16) = t34;
+ t35 = *((unsigned int *)t29);
+ *((unsigned int *)t29) = (t35 & 15U);
+ t36 = *((unsigned int *)t16);
+ *((unsigned int *)t16) = (t36 & 15U);
+ t37 = ((char*)((ng5)));
+ memset(t38, 0, 8);
+ xsi_vlog_unsigned_add(t38, 4, t29, 4, t37, 4);
+ t39 = (t0 + 2088);
+ t43 = (t0 + 2088);
+ t44 = (t43 + 72U);
+ t45 = *((char **)t44);
+ t46 = ((char*)((ng8)));
+ t47 = ((char*)((ng9)));
+ xsi_vlog_convert_partindices(t40, t41, t42, ((int*)(t45)), 2, t46, 32, 1, t47, 32, 1);
+ t48 = (t40 + 4);
+ t49 = *((unsigned int *)t48);
+ t50 = (!(t49));
+ t51 = (t41 + 4);
+ t52 = *((unsigned int *)t51);
+ t53 = (!(t52));
+ t54 = (t50 && t53);
+ t55 = (t42 + 4);
+ t56 = *((unsigned int *)t55);
+ t57 = (!(t56));
+ t58 = (t54 && t57);
+ if (t58 == 1)
+ goto LAB33;
+
+LAB34: goto LAB32;
+
+LAB33: t59 = *((unsigned int *)t42);
+ t60 = (t59 + 0);
+ t61 = *((unsigned int *)t40);
+ t62 = *((unsigned int *)t41);
+ t63 = (t61 - t62);
+ t64 = (t63 + 1);
+ xsi_vlogvar_assign_value(t39, t38, t60, *((unsigned int *)t41), t64);
+ goto LAB34;
+
+LAB36: t11 = (t22 + 4);
+ *((unsigned int *)t22) = 1;
+ *((unsigned int *)t11) = 1;
+ goto LAB38;
+