summaryrefslogtreecommitdiff
path: root/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.c
diff options
context:
space:
mode:
Diffstat (limited to 'isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.c')
-rw-r--r--isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.c286
1 files changed, 286 insertions, 0 deletions
diff --git a/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.c b/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.c
new file mode 100644
index 0000000..bab52a4
--- /dev/null
+++ b/isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.c
@@ -0,0 +1,286 @@
+/**********************************************************************/
+/* ____ ____ */
+/* / /\/ / */
+/* /___/ \ / */
+/* \ \ \/ */
+/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
+/* / / All Right Reserved. */
+/* /---/ /\ */
+/* \ \ / \ */
+/* \___\/\___\ */
+/***********************************************************************/
+
+/* This file is designed for use with ISim build 0x8ddf5b5d */
+
+#define XSI_HIDE_SYMBOL_SPEC true
+#include "xsi.h"
+#include <memory.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+static const char *ng0 = "/home/michael/Documents/School/EC311/lab6/TEST_Detector.v";
+static int ng1[] = {0, 0};
+static int ng2[] = {20, 0};
+static int ng3[] = {19, 0};
+static int ng4[] = {1, 0};
+
+
+
+static void Initial_47_0(char *t0)
+{
+ char t6[8];
+ char t19[8];
+ char t27[8];
+ char *t1;
+ char *t2;
+ char *t3;
+ char *t4;
+ char *t5;
+ char *t7;
+ char *t8;
+ char *t9;
+ char *t10;
+ unsigned int t11;
+ unsigned int t12;
+ unsigned int t13;
+ unsigned int t14;
+ unsigned int t15;
+ char *t16;
+ char *t17;
+ char *t18;
+ char *t20;
+ char *t21;
+ char *t22;
+ char *t23;
+ char *t24;
+ char *t25;
+ char *t26;
+ char *t28;
+ unsigned int t29;
+ unsigned int t30;
+ unsigned int t31;
+ unsigned int t32;
+ unsigned int t33;
+ unsigned int t34;
+ unsigned int t35;
+ unsigned int t36;
+
+LAB0: t1 = (t0 + 3160U);
+ t2 = *((char **)t1);
+ if (t2 == 0)
+ goto LAB2;
+
+LAB3: goto *t2;
+
+LAB2: xsi_set_current_line(47, ng0);
+
+LAB4: xsi_set_current_line(49, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1608);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(50, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(51, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 1928);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
+ xsi_set_current_line(52, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 2248);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
+ xsi_set_current_line(55, ng0);
+ t2 = (t0 + 2968);
+ xsi_process_wait(t2, 50000LL);
+ *((char **)t1) = &&LAB5;
+
+LAB1: return;
+LAB5: xsi_set_current_line(58, ng0);
+ xsi_set_current_line(58, ng0);
+ t2 = ((char*)((ng1)));
+ t3 = (t0 + 2248);
+ xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
+
+LAB6: t2 = (t0 + 2248);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng2)));
+ memset(t6, 0, 8);
+ t7 = (t4 + 4);
+ if (*((unsigned int *)t7) != 0)
+ goto LAB8;
+
+LAB7: t8 = (t5 + 4);
+ if (*((unsigned int *)t8) != 0)
+ goto LAB8;
+
+LAB11: if (*((unsigned int *)t4) < *((unsigned int *)t5))
+ goto LAB9;
+
+LAB10: t10 = (t6 + 4);
+ t11 = *((unsigned int *)t10);
+ t12 = (~(t11));
+ t13 = *((unsigned int *)t6);
+ t14 = (t13 & t12);
+ t15 = (t14 != 0);
+ if (t15 > 0)
+ goto LAB12;
+
+LAB13: goto LAB1;
+
+LAB8: t9 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t9) = 1;
+ goto LAB10;
+
+LAB9: *((unsigned int *)t6) = 1;
+ goto LAB10;
+
+LAB12: xsi_set_current_line(58, ng0);
+
+LAB14: xsi_set_current_line(59, ng0);
+ t16 = (t0 + 2088);
+ t17 = (t16 + 56U);
+ t18 = *((char **)t17);
+ t20 = (t0 + 2088);
+ t21 = (t20 + 72U);
+ t22 = *((char **)t21);
+ t23 = ((char*)((ng3)));
+ t24 = (t0 + 2248);
+ t25 = (t24 + 56U);
+ t26 = *((char **)t25);
+ memset(t27, 0, 8);
+ xsi_vlog_unsigned_minus(t27, 32, t23, 32, t26, 16);
+ xsi_vlog_generic_get_index_select_value(t19, 1, t18, t22, 2, t27, 32, 2);
+ t28 = (t0 + 1608);
+ xsi_vlogvar_assign_value(t28, t19, 0, 0, 1);
+ xsi_set_current_line(60, ng0);
+ t2 = (t0 + 1768);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t4 + 4);
+ t11 = *((unsigned int *)t5);
+ t12 = (~(t11));
+ t13 = *((unsigned int *)t4);
+ t14 = (t13 & t12);
+ t15 = (t14 & 1U);
+ if (t15 != 0)
+ goto LAB18;
+
+LAB16: if (*((unsigned int *)t5) == 0)
+ goto LAB15;
+
+LAB17: t7 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t7) = 1;
+
+LAB18: t8 = (t6 + 4);
+ t9 = (t4 + 4);
+ t29 = *((unsigned int *)t4);
+ t30 = (~(t29));
+ *((unsigned int *)t6) = t30;
+ *((unsigned int *)t8) = 0;
+ if (*((unsigned int *)t9) != 0)
+ goto LAB20;
+
+LAB19: t35 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t35 & 1U);
+ t36 = *((unsigned int *)t8);
+ *((unsigned int *)t8) = (t36 & 1U);
+ t10 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t10, t6, 0, 0, 1);
+ xsi_set_current_line(60, ng0);
+ t2 = (t0 + 2968);
+ xsi_process_wait(t2, 5000LL);
+ *((char **)t1) = &&LAB21;
+ goto LAB1;
+
+LAB15: *((unsigned int *)t6) = 1;
+ goto LAB18;
+
+LAB20: t31 = *((unsigned int *)t6);
+ t32 = *((unsigned int *)t9);
+ *((unsigned int *)t6) = (t31 | t32);
+ t33 = *((unsigned int *)t8);
+ t34 = *((unsigned int *)t9);
+ *((unsigned int *)t8) = (t33 | t34);
+ goto LAB19;
+
+LAB21: xsi_set_current_line(61, ng0);
+ t2 = (t0 + 1768);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ memset(t6, 0, 8);
+ t5 = (t4 + 4);
+ t11 = *((unsigned int *)t5);
+ t12 = (~(t11));
+ t13 = *((unsigned int *)t4);
+ t14 = (t13 & t12);
+ t15 = (t14 & 1U);
+ if (t15 != 0)
+ goto LAB25;
+
+LAB23: if (*((unsigned int *)t5) == 0)
+ goto LAB22;
+
+LAB24: t7 = (t6 + 4);
+ *((unsigned int *)t6) = 1;
+ *((unsigned int *)t7) = 1;
+
+LAB25: t8 = (t6 + 4);
+ t9 = (t4 + 4);
+ t29 = *((unsigned int *)t4);
+ t30 = (~(t29));
+ *((unsigned int *)t6) = t30;
+ *((unsigned int *)t8) = 0;
+ if (*((unsigned int *)t9) != 0)
+ goto LAB27;
+
+LAB26: t35 = *((unsigned int *)t6);
+ *((unsigned int *)t6) = (t35 & 1U);
+ t36 = *((unsigned int *)t8);
+ *((unsigned int *)t8) = (t36 & 1U);
+ t10 = (t0 + 1768);
+ xsi_vlogvar_assign_value(t10, t6, 0, 0, 1);
+ xsi_set_current_line(61, ng0);
+ t2 = (t0 + 2968);
+ xsi_process_wait(t2, 5000LL);
+ *((char **)t1) = &&LAB28;
+ goto LAB1;
+
+LAB22: *((unsigned int *)t6) = 1;
+ goto LAB25;
+
+LAB27: t31 = *((unsigned int *)t6);
+ t32 = *((unsigned int *)t9);
+ *((unsigned int *)t6) = (t31 | t32);
+ t33 = *((unsigned int *)t8);
+ t34 = *((unsigned int *)t9);
+ *((unsigned int *)t8) = (t33 | t34);
+ goto LAB26;
+
+LAB28: xsi_set_current_line(58, ng0);
+ t2 = (t0 + 2248);
+ t3 = (t2 + 56U);
+ t4 = *((char **)t3);
+ t5 = ((char*)((ng4)));
+ memset(t6, 0, 8);
+ xsi_vlog_unsigned_add(t6, 32, t4, 16, t5, 32);
+ t7 = (t0 + 2248);
+ xsi_vlogvar_assign_value(t7, t6, 0, 0, 16);
+ goto LAB6;
+
+}
+
+
+extern void work_m_14253225476704866645_1235880303_init()
+{
+ static char *pe[] = {(void *)Initial_47_0};
+ xsi_register_didat("work_m_14253225476704866645_1235880303", "isim/TEST_Detector_isim_beh.exe.sim/work/m_14253225476704866645_1235880303.didat");
+ xsi_register_executes(pe);
+}