summaryrefslogtreecommitdiff
path: root/verilog/test_slt.v
diff options
context:
space:
mode:
authorMichael Abed <michaelabed@gmail.com>2012-12-02 12:13:10 -0500
committerMichael Abed <michaelabed@gmail.com>2012-12-02 12:13:10 -0500
commite64c18d0e30c33fe4609c881620fa937da7b8ce3 (patch)
tree1caab3c1934a97fbff1faef1076e34f06c994a6c /verilog/test_slt.v
downloadec413-lab5-master.tar.gz
ec413-lab5-master.tar.bz2
ec413-lab5-master.zip
make git repoHEADmaster
Diffstat (limited to 'verilog/test_slt.v')
-rwxr-xr-xverilog/test_slt.v76
1 files changed, 76 insertions, 0 deletions
diff --git a/verilog/test_slt.v b/verilog/test_slt.v
new file mode 100755
index 0000000..4ae94c3
--- /dev/null
+++ b/verilog/test_slt.v
@@ -0,0 +1,76 @@
+`timescale 1ns / 1ps
+
+////////////////////////////////////////////////////////////////////////////////
+// Company:
+// Engineer:
+//
+// Create Date: 13:25:07 10/05/2012
+// Design Name: slt
+// Module Name: /ad/eng/users/m/g/mgabed/Documents/ec413/mgabed-lab4/test_slt.v
+// Project Name: mgabed-lab4
+// Target Device:
+// Tool versions:
+// Description:
+//
+// Verilog Test Fixture created by ISE for module: slt
+//
+// Dependencies:
+//
+// Revision:
+// Revision 0.01 - File Created
+// Additional Comments:
+//
+////////////////////////////////////////////////////////////////////////////////
+
+module test_slt;
+
+ // Inputs
+ reg r2;
+ reg r3;
+ reg signres;
+ reg carryres;
+
+ // Outputs
+ wire out;
+
+ // Instantiate the Unit Under Test (UUT)
+ slt uut (
+ .out(out),
+ .r2(r2),
+ .r3(r3),
+ .signres(signres),
+ .carryres(carryres)
+ );
+
+ initial begin
+ // Initialize Inputs
+ r2 = 0;
+ r3 = 0;
+ signres = 0;
+ carryres = 0;
+
+ // Wait 100 ns for global reset to finish
+ #100;
+
+ // Add stimulus here
+ signres = 1; carryres = 1; r2 = 1; r3 = 1; #5;
+ signres = 1; carryres = 1; r2 = 1; r3 = 0; #5;
+ signres = 1; carryres = 1; r2 = 0; r3 = 1; #5;
+ signres = 1; carryres = 1; r2 = 0; r3 = 0; #5;
+ signres = 1; carryres = 0; r2 = 1; r3 = 1; #5;
+ signres = 1; carryres = 0; r2 = 1; r3 = 0; #5;
+ signres = 1; carryres = 0; r2 = 0; r3 = 1; #5;
+ signres = 1; carryres = 0; r2 = 0; r3 = 0; #5;
+ signres = 0; carryres = 1; r2 = 1; r3 = 1; #5;
+ signres = 0; carryres = 1; r2 = 1; r3 = 0; #5;
+ signres = 0; carryres = 1; r2 = 0; r3 = 1; #5;
+ signres = 0; carryres = 1; r2 = 0; r3 = 0; #5;
+ signres = 0; carryres = 0; r2 = 1; r3 = 1; #5;
+ signres = 0; carryres = 0; r2 = 1; r3 = 0; #5;
+ signres = 0; carryres = 0; r2 = 0; r3 = 1; #5;
+ signres = 0; carryres = 0; r2 = 0; r3 = 0; #5;
+
+ end
+
+endmodule
+