summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorMichael Abed <michaelabed@gmail.com>2012-03-21 13:44:29 -0400
committerMichael Abed <michaelabed@gmail.com>2012-03-21 13:44:29 -0400
commit1a28b82559b9fe09de7f18249d0ca4870fa9c501 (patch)
treec976cb499e844a2190cd9a8344076ef32c0912e6
parent2ac48fa0e44016a6cb49cab84a154eb7ec2dcab4 (diff)
downloadec311-lab4-1a28b82559b9fe09de7f18249d0ca4870fa9c501.tar.gz
ec311-lab4-1a28b82559b9fe09de7f18249d0ca4870fa9c501.tar.bz2
ec311-lab4-1a28b82559b9fe09de7f18249d0ca4870fa9c501.zip
Adjust timings for real board
-rw-r--r--CountdownController.v14
-rw-r--r--CountdownController_summary.html4
-rw-r--r--_xmsgs/pn_parser.xmsgs2
-rw-r--r--iseconfig/CountdownController.xreport2
-rw-r--r--iseconfig/lab4.projectmgr7
-rw-r--r--lab4.gise3
6 files changed, 15 insertions, 17 deletions
diff --git a/CountdownController.v b/CountdownController.v
index b06fa4b..ac50bf0 100644
--- a/CountdownController.v
+++ b/CountdownController.v
@@ -50,18 +50,18 @@ wire [3:0] ado, bdo, cdo;
wire [7:0] init;
wire [7:0] tout;
-ClockDivider dbc(.count(100), .rst(rst), .clk_in(clk), .clk_out(dbclk));
-ClockDivider sec(.count(100), .rst(rst), .clk_in(clk), .clk_out(seconds));
-ClockDivider dcc(.count(50), .rst(rst), .clk_in(clk), .clk_out(dispclk));
+ClockDivider dbc(.count(1_000_000), .rst(rst), .clk_in(clk), .clk_out(dbclk));
+ClockDivider sec(.count(100_000_000), .rst(rst), .clk_in(clk), .clk_out(seconds));
+ClockDivider dcc(.count(7_500_000), .rst(rst), .clk_in(clk), .clk_out(dispclk));
debouncer dbA(.dout(a), .din(btnA), .rst(rst), .clk_1M(dbclk));
debouncer dbB(.dout(b), .din(btnB), .rst(rst), .clk_1M(dbclk));
-//Increment inc1(.value(ad), .btn(a));
-//Increment inc2(.value(bd), .btn(b));
+Increment inc1(.value(ad), .btn(a));
+Increment inc2(.value(bd), .btn(b));
-Increment inc1(.value(ad), .btn(btnA));
-Increment inc2(.value(bd), .btn(btnB));
+//Increment inc1(.value(ad), .btn(btnA));
+//Increment inc2(.value(bd), .btn(btnB));
BCD2Bin bcd2b(.hun(0), .ten(ad), .one(bd), .bin(init));
diff --git a/CountdownController_summary.html b/CountdownController_summary.html
index 8dcf2d2..b9ce724 100644
--- a/CountdownController_summary.html
+++ b/CountdownController_summary.html
@@ -72,9 +72,9 @@
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
-<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab4/isim.log'>ISIM Simulator Log</A></TD><TD>Current</TD><TD COLSPAN='2'>Tue Mar 20 18:13:57 2012</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab4/isim.log'>ISIM Simulator Log</A></TD><TD>Current</TD><TD COLSPAN='2'>Wed Mar 21 13:14:04 2012</TD></TR>
</TABLE>
-<br><center><b>Date Generated:</b> 03/21/2012 - 11:25:15</center>
+<br><center><b>Date Generated:</b> 03/21/2012 - 13:43:14</center>
</BODY></HTML> \ No newline at end of file
diff --git a/_xmsgs/pn_parser.xmsgs b/_xmsgs/pn_parser.xmsgs
index 009b8f5..16c15fd 100644
--- a/_xmsgs/pn_parser.xmsgs
+++ b/_xmsgs/pn_parser.xmsgs
@@ -8,7 +8,7 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
<messages>
-<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;/home/michael/Documents/School/EC311/lab4/Countdown.v&quot; into library work</arg>
+<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;/home/michael/Documents/School/EC311/lab4/CountdownController.v&quot; into library work</arg>
</msg>
</messages>
diff --git a/iseconfig/CountdownController.xreport b/iseconfig/CountdownController.xreport
index 81912a7..f2c2a7b 100644
--- a/iseconfig/CountdownController.xreport
+++ b/iseconfig/CountdownController.xreport
@@ -1,7 +1,7 @@
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
- <DateModified>2012-03-21T11:25:15</DateModified>
+ <DateModified>2012-03-21T13:31:48</DateModified>
<ModuleName>CountdownController</ModuleName>
<SummaryTimeStamp>Unknown</SummaryTimeStamp>
<SavedFilePath>/home/michael/Documents/School/EC311/lab4/iseconfig/CountdownController.xreport</SavedFilePath>
diff --git a/iseconfig/lab4.projectmgr b/iseconfig/lab4.projectmgr
index a85babe..325fe45 100644
--- a/iseconfig/lab4.projectmgr
+++ b/iseconfig/lab4.projectmgr
@@ -85,7 +85,6 @@
<ClosedNode>/TEST_BCD2Bin |home|michael|Documents|School|EC311|lab4|TEST_BCD2Bin.v</ClosedNode>
<ClosedNode>/TEST_Bin2BCD |home|michael|Documents|School|EC311|lab4|TEST_Bin2BCD.v</ClosedNode>
<ClosedNode>/TEST_ClockDivider |home|michael|Documents|School|EC311|lab4|TEST_ClockDivider.v</ClosedNode>
- <ClosedNode>/TEST_Countdown |home|michael|Documents|School|EC311|lab4|TEST_Countdown.v</ClosedNode>
<ClosedNode>/TEST_DisplayController |home|michael|Documents|School|EC311|lab4|TEST_DisplayController.v</ClosedNode>
<ClosedNode>/TEST_DisplayController |home|michael|Documents|School|EC311|lab4|TEST_DisplayController.v/uut - DisplayController</ClosedNode>
<ClosedNode>/TEST_Increment |home|michael|Documents|School|EC311|lab4|TEST_Increment.v</ClosedNode>
@@ -94,7 +93,7 @@
<SelectedItems>
<SelectedItem>Test_ContdownController (/home/michael/Documents/School/EC311/lab4/Test_ContdownController.v)</SelectedItem>
</SelectedItems>
- <ScrollbarPosition orientation="vertical" >3</ScrollbarPosition>
+ <ScrollbarPosition orientation="vertical" >4</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000018f000000020000000000000000000000000200000064ffffffff0000008100000003000000020000018f0000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
@@ -119,13 +118,13 @@
<ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
- <SelectedItem></SelectedItem>
+ <SelectedItem>Simulate Behavioral Model</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000183000000010000000100000000000000000000000064ffffffff000000810000000000000001000001830000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem></CurrentItem>
+ <CurrentItem>Simulate Behavioral Model</CurrentItem>
</ItemView>
<ItemView engineview="PostTransSim" guiview="Source" compilemode="AutoCompile" >
<ClosedNodes>
diff --git a/lab4.gise b/lab4.gise
index 69a679c..06772a8 100644
--- a/lab4.gise
+++ b/lab4.gise
@@ -120,10 +120,9 @@
<outfile xil_pn:name="isim.log"/>
<outfile xil_pn:name="xilinxsim.ini"/>
</transform>
- <transform xil_pn:end_ts="1332349898" xil_pn:in_ck="5762157264321675852" xil_pn:name="TRAN_ISimulateBehavioralModel" xil_pn:prop_ck="1925828390295595889" xil_pn:start_ts="1332349897">
+ <transform xil_pn:end_ts="1332349979" xil_pn:in_ck="5762157264321675852" xil_pn:name="TRAN_ISimulateBehavioralModel" xil_pn:prop_ck="-5372246553278025746" xil_pn:start_ts="1332349978">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
- <status xil_pn:value="OutOfDateForProperties"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="Test_ContdownController_isim_beh.wdb"/>