summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorMichael Abed <michaelabed@gmail.com>2012-03-21 13:17:47 -0400
committerMichael Abed <michaelabed@gmail.com>2012-03-21 13:17:47 -0400
commit2ac48fa0e44016a6cb49cab84a154eb7ec2dcab4 (patch)
tree9819721233275cee6e39483867818a54299a4fc1
downloadec311-lab4-2ac48fa0e44016a6cb49cab84a154eb7ec2dcab4.tar.gz
ec311-lab4-2ac48fa0e44016a6cb49cab84a154eb7ec2dcab4.tar.bz2
ec311-lab4-2ac48fa0e44016a6cb49cab84a154eb7ec2dcab4.zip
Initial Commit
-rw-r--r--.gitignore4
-rw-r--r--BCD2Bin.v58
-rw-r--r--Bin2BCD.v56
-rw-r--r--Bin2BCD_beh.prj2
-rw-r--r--Bin2BCD_summary.html79
-rw-r--r--ClockDivider.v47
-rw-r--r--Countdown.v57
-rw-r--r--CountdownController.v79
-rw-r--r--CountdownController_summary.html80
-rw-r--r--DisplayController.v48
-rw-r--r--DisplayController_summary.html80
-rw-r--r--Increment.v32
-rw-r--r--SevSegDisp.v45
-rw-r--r--SevSegDisp_stx_beh.prj2
-rw-r--r--TEST_BCD2Bin.v61
-rw-r--r--TEST_Bin2BCD.v61
-rw-r--r--TEST_Bin2BCD_stx_beh.prj3
-rw-r--r--TEST_ClockDivider.v65
-rw-r--r--TEST_Countdown.v70
-rw-r--r--TEST_DisplayController.v71
-rw-r--r--TEST_DisplayController_stx_beh.prj5
-rw-r--r--TEST_Increment.v61
-rw-r--r--TEST_SevSegDisp.v55
-rw-r--r--Test_ContdownController.v94
-rw-r--r--Test_ContdownController_beh.prj11
-rw-r--r--_xmsgs/pn_parser.xmsgs15
-rw-r--r--clockdiv.wcfg36
-rw-r--r--countdown.wcfg40
-rw-r--r--debouncer.v56
-rw-r--r--fuse.log52
-rw-r--r--fuse.xmsgs51
-rw-r--r--fuseRelaunch.cmd1
-rw-r--r--iseconfig/Bin2BCD.xreport215
-rw-r--r--iseconfig/CountdownController.xreport215
-rw-r--r--iseconfig/DisplayController.xreport215
-rw-r--r--iseconfig/lab4.projectmgr213
-rw-r--r--isim.cmd3
-rw-r--r--isim.log18
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbgbin0 -> 4679 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/isimcrash.log0
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/isimkernel.log29
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/netId.datbin0 -> 76 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/tmp_save/_1bin0 -> 2743 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.c36
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.lin64.obin0 -> 2432 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.c329
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didatbin0 -> 3364 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.lin64.obin0 -> 5496 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c279
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didatbin0 -> 3080 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.lin64.obin0 -> 5264 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin0 -> 5556 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin0 -> 5216 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbgbin0 -> 13768 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/isimcrash.log0
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/isimkernel.log29
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/netId.datbin0 -> 108 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/tmp_save/_1bin0 -> 9766 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.c44
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.lin64.obin0 -> 3264 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.c205
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.didatbin0 -> 2428 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.lin64.obin0 -> 5920 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.c718
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.didatbin0 -> 3204 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.lin64.obin0 -> 7144 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c279
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didatbin0 -> 3088 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.lin64.obin0 -> 5264 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.c192
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.didatbin0 -> 10888 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.lin64.obin0 -> 3976 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.c273
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.didatbin0 -> 2680 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.lin64.obin0 -> 4224 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.c722
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.didatbin0 -> 3904 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.lin64.obin0 -> 8800 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.c590
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.didatbin0 -> 2732 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.lin64.obin0 -> 7672 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.c249
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.didatbin0 -> 3664 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.lin64.obin0 -> 3776 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.c203
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.didatbin0 -> 2376 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.lin64.obin0 -> 3344 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin0 -> 5564 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin0 -> 5216 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.c538
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.didatbin0 -> 2792 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.lin64.obin0 -> 6880 bytes
-rw-r--r--isim/isim_usage_statistics.html16
-rw-r--r--isim/lockfile0
-rw-r--r--isim/pn_info1
-rw-r--r--isim/work/@b@c@d2@bin.sdbbin0 -> 4984 bytes
-rw-r--r--isim/work/@bin2@b@c@d.sdbbin0 -> 5420 bytes
-rw-r--r--isim/work/@clock@divider.sdbbin0 -> 2521 bytes
-rw-r--r--isim/work/@countdown.sdbbin0 -> 3310 bytes
-rw-r--r--isim/work/@countdown@controller.sdbbin0 -> 6830 bytes
-rw-r--r--isim/work/@display@controller.sdbbin0 -> 3306 bytes
-rw-r--r--isim/work/@increment.sdbbin0 -> 1538 bytes
-rw-r--r--isim/work/@sev@seg@disp.sdbbin0 -> 2916 bytes
-rw-r--r--isim/work/@test_@contdown@controller.sdbbin0 -> 6172 bytes
-rw-r--r--isim/work/debouncer.sdbbin0 -> 4503 bytes
-rw-r--r--isim/work/glbl.sdbbin0 -> 5478 bytes
-rw-r--r--lab4.gise135
-rw-r--r--lab4.xise441
-rw-r--r--xilinxsim.ini1
111 files changed, 8339 insertions, 0 deletions
diff --git a/.gitignore b/.gitignore
new file mode 100644
index 0000000..57cdc36
--- /dev/null
+++ b/.gitignore
@@ -0,0 +1,4 @@
+
+*.wdb
+*.exe
+*.un~
diff --git a/BCD2Bin.v b/BCD2Bin.v
new file mode 100644
index 0000000..06a38c8
--- /dev/null
+++ b/BCD2Bin.v
@@ -0,0 +1,58 @@
+`timescale 1ns / 1ps
+//////////////////////////////////////////////////////////////////////////////////
+// Company:
+// Engineer:
+//
+// Create Date: 14:39:02 03/16/2012
+// Design Name:
+// Module Name: BCD2Bin
+// Project Name:
+// Target Devices:
+// Tool versions:
+// Description:
+//
+// Dependencies:
+//
+// Revision:
+// Revision 0.01 - File Created
+// Additional Comments:
+//
+//////////////////////////////////////////////////////////////////////////////////
+module BCD2Bin(
+ input [3:0] hun,
+ input [3:0] ten,
+ input [3:0] one,
+ output [7:0] bin
+ );
+
+reg [7:0] bin = 0;
+reg [2:0] i = 0;
+
+reg [19:0] work;
+
+always @ ( hun, ten, one ) begin
+
+ work = {hun, ten, one, bin};
+
+ //work = work >> 1;
+
+ for (i = 0; i < 7; i = i + 1) begin
+ work = work >> 1;
+ if (work[19:16] >= 5) begin
+ work[19:16] = work[19:16] - 3;
+ end
+ if (work[15:12] >= 5) begin
+ work[15:12] = work[15:12] - 3;
+ end
+ if (work[11:8] >= 5) begin
+ work [11:8] = work[11:8] - 3;
+ end
+ end
+
+ work = work >> 1;
+
+ bin = work[7:0];
+
+end
+
+endmodule
diff --git a/Bin2BCD.v b/Bin2BCD.v
new file mode 100644
index 0000000..ce79f2b
--- /dev/null
+++ b/Bin2BCD.v
@@ -0,0 +1,56 @@
+`timescale 1ns / 1ps
+//////////////////////////////////////////////////////////////////////////////////
+// Company:
+// Engineer:
+//
+// Create Date: 10:16:12 03/16/2012
+// Design Name:
+// Module Name: Bin2BCD
+// Project Name:
+// Target Devices:
+// Tool versions:
+// Description:
+//
+// Dependencies:
+//
+// Revision:
+// Revision 0.01 - File Created
+// Additional Comments:
+//
+//////////////////////////////////////////////////////////////////////////////////
+module Bin2BCD(
+ input [7:0] bin,
+ output [3:0] one,
+ output [3:0] ten,
+ output [3:0] hun
+ );
+
+reg [3:0] one, ten, hun;
+reg [19:0] work;
+reg [3:0] i;
+
+always @( bin ) begin
+ hun = 0; ten = 0; one = 0;
+ work = {hun, ten, one, bin};
+
+ for (i = 0; i < 7; i = i +1) begin
+ work = work << 1;
+ if (work[19:16] >= 5) begin
+ work[19:16] = work[19:16] + 3;
+ end
+ if (work[15:12] >= 5) begin
+ work[15:12] = work[15:12] + 3;
+ end
+ if (work[11:8] >= 5) begin
+ work[11:8] = work[11:8] + 3;
+ end
+ end
+
+ work = work << 1;
+
+ hun = work[19:16];
+ ten = work[15:12];
+ one = work[11:8];
+end
+
+endmodule
diff --git a/Bin2BCD_beh.prj b/Bin2BCD_beh.prj
new file mode 100644
index 0000000..837a173
--- /dev/null
+++ b/Bin2BCD_beh.prj
@@ -0,0 +1,2 @@
+verilog work "Bin2BCD.v"
+verilog work "/home/michael/opt/Xilinx/13.4/ISE_DS/ISE//verilog/src/glbl.v"
diff --git a/Bin2BCD_summary.html b/Bin2BCD_summary.html
new file mode 100644
index 0000000..f11693f
--- /dev/null
+++ b/Bin2BCD_summary.html
@@ -0,0 +1,79 @@
+<HTML><HEAD><TITLE>Xilinx Design Summary</TITLE></HEAD>
+<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
+<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
+<TD ALIGN=CENTER COLSPAN='4'><B>Bin2BCD Project Status</B></TD></TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
+<TD>lab4.xise</TD>
+<TD BGCOLOR='#FFFF99'><b>Parser Errors:</b></TD>
+<TD> No Errors </TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
+<TD>Bin2BCD</TD>
+<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
+<TD>New</TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
+<TD>xc6slx16-3csg324</TD>
+<TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
+<TD>&nbsp;</TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.4</TD>
+<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
+<TD>&nbsp;</TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
+<TD>Balanced</TD>
+<TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
+<TD>
+&nbsp;</TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
+<TD><A HREF_DISABLED='Xilinx Default (unlocked)?&DataKey=Strategy'>Xilinx Default (unlocked)</A></TD>
+<TD BGCOLOR='#FFFF99'><UL><LI><B>Timing Constraints:</B></LI></UL></TD>
+<TD>&nbsp;</TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
+<TD>&nbsp;</TD>
+<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
+<TD>&nbsp;&nbsp;</TD>
+</TR>
+</TABLE>
+
+
+
+
+
+
+
+
+
+
+
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
+<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
+<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
+<TR ALIGN=LEFT><TD>Synthesis Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
+<TR ALIGN=LEFT><TD>Translation Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
+<TR ALIGN=LEFT><TD>Map Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
+<TR ALIGN=LEFT><TD>Place and Route Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
+<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
+<TR ALIGN=LEFT><TD>Post-PAR Static Timing Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
+<TR ALIGN=LEFT><TD>Bitgen Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
+</TABLE>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
+<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
+</TABLE>
+
+
+<br><center><b>Date Generated:</b> 03/16/2012 - 11:06:11</center>
+</BODY></HTML> \ No newline at end of file
diff --git a/ClockDivider.v b/ClockDivider.v
new file mode 100644
index 0000000..2447e7e
--- /dev/null
+++ b/ClockDivider.v
@@ -0,0 +1,47 @@
+`timescale 1ns / 1ps
+///////////////////////////////////