summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorMichael Abed <michaelabed@gmail.com>2012-03-22 16:14:11 -0400
committerMichael Abed <michaelabed@gmail.com>2012-03-22 16:14:11 -0400
commit11a0ed5a6e8af2e224caf1cb782829dfd8737b5e (patch)
tree5c7aa13704d69357887328db7c4926be76858c72
parentbab97470acd4dd09ef19b669ff6c6f933aece0f8 (diff)
downloadec311-lab4-11a0ed5a6e8af2e224caf1cb782829dfd8737b5e.tar.gz
ec311-lab4-11a0ed5a6e8af2e224caf1cb782829dfd8737b5e.tar.bz2
ec311-lab4-11a0ed5a6e8af2e224caf1cb782829dfd8737b5e.zip
updates
-rw-r--r--.gitignore1
-rw-r--r--BCD2Bin.v8
-rw-r--r--Bin2BCD.v6
-rw-r--r--ClockDivider.v2
-rw-r--r--Countdown.v52
-rw-r--r--CountdownController.v46
-rw-r--r--CountdownController_summary.html6
-rw-r--r--DisplayController.v6
-rw-r--r--Increment.v10
-rw-r--r--SevSegDisp.v26
-rw-r--r--TEST_Increment.v6
-rw-r--r--TEST_SevSegDisp.v4
-rw-r--r--Test_ContdownController_beh.prj11
-rw-r--r--_xmsgs/pn_parser.xmsgs30
-rw-r--r--fuse.log72
-rwxr-xr-x[-rw-r--r--]fuse.xmsgs57
-rw-r--r--fuseRelaunch.cmd2
-rw-r--r--iseconfig/CountdownController.xreport6
-rw-r--r--iseconfig/lab4.projectmgr438
-rw-r--r--isim.cmd6
-rw-r--r--isim.log28
-rwxr-xr-x[-rw-r--r--]isim/TEST_Countdown_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbgbin4679 -> 4504 bytes
-rwxr-xr-x[-rw-r--r--]isim/TEST_Countdown_isim_beh.exe.sim/isimcrash.log0
-rwxr-xr-x[-rw-r--r--]isim/TEST_Countdown_isim_beh.exe.sim/isimkernel.log57
-rwxr-xr-x[-rw-r--r--]isim/TEST_Countdown_isim_beh.exe.sim/netId.datbin76 -> 76 bytes
-rwxr-xr-x[-rw-r--r--]isim/TEST_Countdown_isim_beh.exe.sim/tmp_save/_1bin2743 -> 2512 bytes
-rwxr-xr-x[-rw-r--r--]isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.c72
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/TEST_Countdown_isim_beh.exe_main.lin64.obin2432 -> 0 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.c329
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.didatbin3364 -> 0 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_01236816096418509971_3448823162.lin64.obin5496 -> 0 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c279
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didatbin3080 -> 0 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.lin64.obin5264 -> 0 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin5556 -> 0 bytes
-rw-r--r--isim/TEST_Countdown_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin5216 -> 0 bytes
-rwxr-xr-x[-rw-r--r--]isim/Test_ContdownController_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbgbin13768 -> 13893 bytes
-rwxr-xr-x[-rw-r--r--]isim/Test_ContdownController_isim_beh.exe.sim/isimcrash.log0
-rwxr-xr-x[-rw-r--r--]isim/Test_ContdownController_isim_beh.exe.sim/isimkernel.log57
-rwxr-xr-x[-rw-r--r--]isim/Test_ContdownController_isim_beh.exe.sim/netId.datbin108 -> 108 bytes
-rwxr-xr-x[-rw-r--r--]isim/Test_ContdownController_isim_beh.exe.sim/tmp_save/_1bin9766 -> 9766 bytes
-rwxr-xr-x[-rw-r--r--]isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.c88
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/Test_ContdownController_isim_beh.exe_main.lin64.obin3264 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.c205
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.didatbin2428 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_01832328269938973087_1606112044.lin64.obin5920 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.c718
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.didatbin3204 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06185630164696979556_3598138731.lin64.obin7144 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.c279
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.didatbin3088 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_06453055231304268951_4281377536.lin64.obin5264 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.c192
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.didatbin10888 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_08578567565259243700_1151371814.lin64.obin3976 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.c273
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.didatbin2680 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09461933616065074075_2531671071.lin64.obin4224 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.c722
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.didatbin3904 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_09637473393135046702_3413554552.lin64.obin8800 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.c590
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.didatbin2732 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14079594305330756291_2618506667.lin64.obin7672 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.c249
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.didatbin3664 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14181161885881575918_3845763652.lin64.obin3776 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.c203
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.didatbin2376 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_14878824473863214981_2647877144.lin64.obin3344 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.c337
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.didatbin5564 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_16541823861846354283_2073120511.lin64.obin5216 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.c538
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.didatbin2792 -> 0 bytes
-rw-r--r--isim/Test_ContdownController_isim_beh.exe.sim/work/m_17579661360444318263_0092613024.lin64.obin6880 -> 0 bytes
-rw-r--r--isim/isim_usage_statistics.html32
-rw-r--r--isim/pn_info2
-rw-r--r--isim/work/@b@c@d2@bin.sdbbin4984 -> 0 bytes
-rw-r--r--isim/work/@bin2@b@c@d.sdbbin5420 -> 0 bytes
-rw-r--r--isim/work/@clock@divider.sdbbin2521 -> 0 bytes
-rwxr-xr-x[-rw-r--r--]isim/work/@countdown.sdbbin3310 -> 2343 bytes
-rw-r--r--isim/work/@countdown@controller.sdbbin6830 -> 0 bytes
-rw-r--r--isim/work/@display@controller.sdbbin3306 -> 0 bytes
-rw-r--r--isim/work/@increment.sdbbin1538 -> 0 bytes
-rw-r--r--isim/work/@sev@seg@disp.sdbbin2916 -> 0 bytes
-rw-r--r--isim/work/@test_@contdown@controller.sdbbin6172 -> 0 bytes
-rw-r--r--isim/work/debouncer.sdbbin4503 -> 0 bytes
-rwxr-xr-x[-rw-r--r--]isim/work/glbl.sdbbin5478 -> 4565 bytes
-rw-r--r--lab4.gise193
-rw-r--r--lab4.xise66
-rw-r--r--xilinxsim.ini2
93 files changed, 754 insertions, 5889 deletions
diff --git a/.gitignore b/.gitignore
index 764a68d..57cdc36 100644
--- a/.gitignore
+++ b/.gitignore
@@ -2,4 +2,3 @@
*.wdb
*.exe
*.un~
-*.prj
diff --git a/BCD2Bin.v b/BCD2Bin.v
index 06a38c8..c17e49c 100644
--- a/BCD2Bin.v
+++ b/BCD2Bin.v
@@ -30,7 +30,7 @@ reg [2:0] i = 0;
reg [19:0] work;
-always @ ( hun, ten, one ) begin
+always @ ( hun, ten, one, bin ) begin
work = {hun, ten, one, bin};
@@ -39,13 +39,13 @@ always @ ( hun, ten, one ) begin
for (i = 0; i < 7; i = i + 1) begin
work = work >> 1;
if (work[19:16] >= 5) begin
- work[19:16] = work[19:16] - 3;
+ work[19:16] = work[19:16] - 4'd3;
end
if (work[15:12] >= 5) begin
- work[15:12] = work[15:12] - 3;
+ work[15:12] = work[15:12] - 4'd3;
end
if (work[11:8] >= 5) begin
- work [11:8] = work[11:8] - 3;
+ work [11:8] = work[11:8] - 4'd3;
end
end
diff --git a/Bin2BCD.v b/Bin2BCD.v
index ce79f2b..403fc22 100644
--- a/Bin2BCD.v
+++ b/Bin2BCD.v
@@ -36,13 +36,13 @@ always @( bin ) begin
for (i = 0; i < 7; i = i +1) begin
work = work << 1;
if (work[19:16] >= 5) begin
- work[19:16] = work[19:16] + 3;
+ work[19:16] = work[19:16] + 4'd3;
end
if (work[15:12] >= 5) begin
- work[15:12] = work[15:12] + 3;
+ work[15:12] = work[15:12] + 4'd3;
end
if (work[11:8] >= 5) begin
- work[11:8] = work[11:8] + 3;
+ work[11:8] = work[11:8] + 4'd3;
end
end
diff --git a/ClockDivider.v b/ClockDivider.v
index 2447e7e..7ced5a7 100644
--- a/ClockDivider.v
+++ b/ClockDivider.v
@@ -36,7 +36,7 @@ always @(posedge clk_in or posedge rst) begin
clk_out = ~clk_out;
c = 0;
end else begin
- c = c + 1;
+ c = c + 24'd1;
end
diff --git a/Countdown.v b/Countdown.v
index 78e4162..a8c7506 100644
--- a/Countdown.v
+++ b/Countdown.v
@@ -23,35 +23,43 @@ module Countdown(
input rst,
input start,
input [7:0] init,
- output [7:0] t
+ output [7:0] t,
+ output running
);
reg [7:0] t;
-reg running = 0;
+reg running = 0;
+reg [7:0] count;
+
+always @(posedge clk_1hz or posedge rst) begin
+ if (rst)
+ count <= 0;
+ else if (running)
+ count <= count + 1;
+ else
+ count <= 0;
+end
-always @(init) begin
- if (!running) begin
- t = init;
- end else begin
- t = t;
- end
-end
-
-always @(posedge clk_1hz) begin
- if (running) begin
- t <= t - 1;
- end else begin
- t <= init;
- end
-end
+always @(posedge clk_1hz or posedge rst) begin
+
+ if (rst)
+ t <= 0;
+ else //if (running)
+ t <= init - count;
-always @(posedge start) begin
- running = 1;
end
-always @(rst) begin
- running = 0;
- t = 0;
+always @(posedge start or posedge rst) begin
+
+ if (rst)
+ running <= 0;
+ else if (count == init) begin
+ running <= 0;
+ end else if (start)
+ running <= 1;
+ else
+ running <= running;
+
end
endmodule
diff --git a/CountdownController.v b/CountdownController.v
index 35c84f9..626eef3 100644
--- a/CountdownController.v
+++ b/CountdownController.v
@@ -31,16 +31,20 @@ module CountdownController(
reg [6:0] ssd;
reg [3:0] AN;
-wire [6:0] ssdo;
-wire [3:0] ANo;
+wire [6:0] ssd1;
+wire [6:0] ssd2;
+wire [3:0] AN1;
+wire [3:0] AN2;
// clocks
wire seconds;
wire dbclk;
-wire dispclk;
+wire dispclk;
+
+wire running;
// buttons
-wire a, b;
+wire a, b, c;
// bcd things
wire [3:0] ad, bd;
@@ -50,34 +54,36 @@ wire [3:0] ado, bdo, cdo;
wire [7:0] init;
wire [7:0] tout;
-//ClockDivider dbc(.count(1_000_000), .rst(rst), .clk_in(clk), .clk_out(dbclk));
-//ClockDivider sec(.count(100_000_000), .rst(rst), .clk_in(clk), .clk_out(seconds));
-//ClockDivider dcc(.count(7_500_000), .rst(rst), .clk_in(clk), .clk_out(dispclk));
+ClockDivider dbc(.count(100), .rst(rst), .clk_in(clk), .clk_out(dbclk));
+ClockDivider sec(.count(100_000_000), .rst(rst), .clk_in(clk), .clk_out(seconds));
+ClockDivider dcc(.count(7_500_0), .rst(rst), .clk_in(clk), .clk_out(dispclk));
-ClockDivider dbc(.count(10), .rst(rst), .clk_in(clk), .clk_out(dbclk));
-ClockDivider sec(.count(100), .rst(rst), .clk_in(clk), .clk_out(seconds));
-ClockDivider dcc(.count(25), .rst(rst), .clk_in(clk), .clk_out(dispclk));
+//ClockDivider dbc(.count(24'd10), .rst(rst), .clk_in(clk), .clk_out(dbclk));
+//ClockDivider sec(.count(24'd100), .rst(rst), .clk_in(clk), .clk_out(seconds));
+//ClockDivider dcc(.count(24'd25), .rst(rst), .clk_in(clk), .clk_out(dispclk));
debouncer dbA(.dout(a), .din(btnA), .rst(rst), .clk_1M(dbclk));
debouncer dbB(.dout(b), .din(btnB), .rst(rst), .clk_1M(dbclk));
+debouncer dbC(.dout(c), .din(btnC), .rst(rst), .clk_1M(dbclk));
-Increment inc1(.value(ad), .btn(a));
-Increment inc2(.value(bd), .btn(b));
+Increment inc1(.value(ad), .btn(a), .rst(rst));
+Increment inc2(.value(bd), .btn(b), .rst(rst));
-//Increment inc1(.value(ad), .btn(btnA));
+//Increment inc1(.value(ad), .btn(btnA));
//Increment inc2(.value(bd), .btn(btnB));
-BCD2Bin bcd2b(.hun(0), .ten(ad), .one(bd), .bin(init));
+BCD2Bin bcd2b(.hun(4'd0), .ten(ad), .one(bd), .bin(init));
-Countdown cntdwn(.t(tout), .rst(rst), .init(init), .clk_1hz(seconds), .start(btnC));
+Countdown cntdwn(.t(tout), .running(running), .rst(rst), .init(init), .clk_1hz(seconds), .start(c));
Bin2BCD b2bcb(.hun(cdo), .ten(ado), .one(bdo), .bin(tout));
-DisplayController dispcont(.result(ssdo), .AN(ANo), .A(ado), .B(bdo), .clk_in(dispclk), .rst(rst));
-
-
-assign ssd = ssdo;
-assign AN = ANo;
+DisplayController dispcont1(.result(ssd1), .AN(AN1), .A(ado), .B(bdo), .clk_in(dispclk), .rst(rst));
+DisplayController dispcont2(.result(ssd2), .AN(AN2), .A(ad), .B(bd), .clk_in(dispclk), .rst(rst));
+always @(posedge clk) begin
+ ssd <= running ? ssd1 : ssd2;
+ AN <= running ? AN1 : AN2;
+end
endmodule
diff --git a/CountdownController_summary.html b/CountdownController_summary.html
index b9ce724..d2dc1c9 100644
--- a/CountdownController_summary.html
+++ b/CountdownController_summary.html
@@ -22,7 +22,7 @@
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
-<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.4</TD>
+<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.3</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
@@ -72,9 +72,9 @@
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
-<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/michael/Documents/School/EC311/lab4/isim.log'>ISIM Simulator Log</A></TD><TD>Current</TD><TD COLSPAN='2'>Wed Mar 21 13:14:04 2012</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='X:/My Documents/ec311/ec311-lab4\isim.log'>ISIM Simulator Log</A></TD><TD>Current</TD><TD COLSPAN='2'>Wed Mar 21 13:22:33 2012</TD></TR>
</TABLE>
-<br><center><b>Date Generated:</b> 03/21/2012 - 13:43:14</center>
+<br><center><b>Date Generated:</b> 03/21/2012 - 17:32:54</center>
</BODY></HTML> \ No newline at end of file
diff --git a/DisplayController.v b/DisplayController.v
index 1ea2bf1..108d7a9 100644
--- a/DisplayController.v
+++ b/DisplayController.v
@@ -35,13 +35,13 @@ wire [6:0] ssd2;
reg prev = 0;
-SevSegDisp d1(.A(A), .out(ssd1));
-SevSegDisp d2(.A(B), .out(ssd2));
+SevSegDisp d1(.A(A), .result(ssd1));
+SevSegDisp d2(.A(B), .result(ssd2));
always @( posedge clk_in ) begin
prev <= ~prev;
result <= prev ? ssd1 : ssd2;
- AN <= { 2'b11, prev, ~prev };
+ AN <= { 2'b11, ~prev, prev };
end
diff --git a/Increment.v b/Increment.v
index b386d13..105a557 100644
--- a/Increment.v
+++ b/Increment.v
@@ -19,14 +19,18 @@
//
//////////////////////////////////////////////////////////////////////////////////
module Increment(
- input btn,
+ input btn,
+ input rst,
output [3:0] value
);
reg [3:0] value = 0;
-always @ ( posedge btn ) begin
- value = value == 9 ? 0 : value + 1;
+always @ ( posedge btn or posedge rst) begin
+ if (rst)
+ value <= 0;
+ else
+ value <= value == 4'd9 ? 4'd0 : value + 4'd1;
end
endmodule
diff --git a/SevSegDisp.v b/SevSegDisp.v
index f0b6ee9..8d2c3b1 100644
--- a/SevSegDisp.v
+++ b/SevSegDisp.v
@@ -21,24 +21,24 @@
module SevSegDisp(
input [3:0] A,
- output [6:0] out
+ output [6:0] result
);
-reg [6:0] out = 0;
+reg [6:0] result = 0;
always @ ( * ) begin
case ( A )
- 4'b0000 : out = 7'b0000001;
- 4'b0001 : out = 7'b1001111;
- 4'b0010 : out = 7'b0010010;
- 4'b0011 : out = 7'b0000110;
- 4'b0100 : out = 7'b1001100;
- 4'b0101 : out = 7'b0100100;
- 4'b0110 : out = 7'b0100000;
- 4'b0111 : out = 7'b0001111;
- 4'b1000 : out = 7'b0000000;
- 4'b1001 : out = 7'b0001100;
- default : out = 7'b0011010;
+ 4'b0000 : result = 7'b0000001;
+ 4'b0001 : result = 7'b1001111;
+ 4'b0010 : result = 7'b0010010;
+ 4'b0011 : result = 7'b0000110;
+ 4'b0100 : result = 7'b1001100;
+ 4'b0101 : result = 7'b0100100;
+ 4'b0110 : result = 7'b0100000;
+ 4'b0111 : result = 7'b0001111;
+ 4'b1000 : result = 7'b0000000;
+ 4'b1001 : result = 7'b0001100;
+ default : result = 7'b0011010;
endcase
end
diff --git a/TEST_Increment.v b/TEST_Increment.v
index 2ff393a..894c734 100644
--- a/TEST_Increment.v
+++ b/TEST_Increment.v
@@ -25,7 +25,8 @@
module TEST_Increment;
// Inputs
- reg btn;
+ reg btn;
+ reg rst;
// Outputs
wire [3 :0] value;
@@ -36,7 +37,8 @@ module TEST_Increment;
// Instantiate the Unit Under Test (UUT)
Increment uut (
- .btn(btn),
+ .btn(btn),
+ .rst(rst),
.value(value)
);
diff --git a/TEST_SevSegDisp.v b/TEST_SevSegDisp.v
index a818547..e03bfae 100644
--- a/TEST_SevSegDisp.v
+++ b/TEST_SevSegDisp.v
@@ -28,12 +28,12 @@ module TEST_SevSegDisp;
reg [3:0] A;
// Outputs
- wire [6:0] out;
+ wire [6:0] result;
// Instantiate the Unit Under Test (UUT)
SevSegDisp uut (
.A(A),